簡易檢索 / 詳目顯示

研究生: 蔡廷浩
Ting-Hao - Tsai
論文名稱: 三維多核心晶片之熱感知即時系統任務排程
On-line Thermal-aware Real-time Task Management for 3D Multicore Processors
指導教授: 陳雅淑
Ya-Shu Chen
口試委員: 楊佳玲
Chia-Lin Yang
張大緯
David Chang
張原豪
Yuan-Hao Chang
呂學坤
Shyue-Kung Lu
陳維美
Wei-Mei Chen 
學位類別: 博士
Doctor
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2016
畢業學年度: 105
語文別: 英文
論文頁數: 147
中文關鍵詞: 三維多核心處理器即時系統熱感知任務排程
外文關鍵詞: 3D multicore processor, real-time system, thermal-aware task scheduling
相關次數: 點閱:360下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨著積體電路製程提升,功耗效率及內部元件溝通延遲等問題日益受到重視。為解決上述問題,三維多核心架構發展日益蓬勃。然而,三維多核心架構的功率密度提升以及散熱面積縮小所引發的過熱問題使其產品化困難度提高。因此本論文提出三維多核心架構即時熱管理機制,在滿足效能限制及晶片溫度控制下提升系統整體利用率。本論文首先提出熱量抑制的概念以制衡處理器核心在執行任務時產生的熱量並管理三維堆疊的熱效應。針對三維同質多核心處理器,考慮獨立任務執行時將消耗不同程度的功率,提出熱調節配置器分配熱量抑制給各處理核心並分配任務執行核心;且提出熱調節伺服器決定核心執行效率以滿足任務之時間限制級系統溫度限制。針對三維異質多核心處理器,考慮其協同執行特性與端對端截止期限之相依性任務,提出熱量抑制比例檢測管理協同執行的熱相依性,並提出協同熱調節配置器配合動態熱量抑制(預算)回收機制最大化系統可服務的任務利用率。本論文之貢獻主要為:(1)本論文首次提出熱量抑制(預算)之概念以建構熱量產生、熱傳導、執行頻率等之間關係。(2)為保證系統可靠性,本篇論文首度提出熱感知可排程性測試方法,包含單顆核心、多核心與相依性任務等各種模型,有助於快速導入熱感知於現存即時排程器。(3)本論文所提出之熱管理機制可通用且適應於各種二維/三維之同質/異質多核心處理器,同時保證良好之系統效能。本論文除一連串綜合性測試外,並將提出的方法移植於LINUX作業系統與實際硬體平台。由實驗結果得知,相較於現存的熱管理方法,本論文提出的方法可在滿足溫度限制下,提升系統約20-60%的效能。


    Three-dimensional (3D) multicore processors have been recently developed to resolve the power consumption and interconnection delay problems of embedded systems; however, thermal management has proven to be challenging due to the thermal behavior from vertically stacked cores, and the subsequent trade-off that occurs between performance requirements and overheating. This dissertation investigates thermal management for 3D multicore processors with respect to the above tradeoffs. A novel thermal-aware real-time scheduling framework to schedule dynamic workloads for 3D multicore processors is proposed. We first present the concept of thermal size to manage the heat generated by task executions and to deal with the thermal conduction from vertically aligned cores. Considering homogeneous multicore processors with independent tasks having varied power consumption, a thermal-throttling dispatcher and a thermal-throttling server are proposed to determine the execution frequency for scheduling the arrival task based on its power consumption enabling thermal awareness in all real-time multicore schedulers. When the heterogeneous-ISA multicore processors are considered, to meet the end-to-end deadline of a task, a thermal size ratio detection and run-time thermal budget reclaiming are proposed to manage the heat generated from synergistic execution on heterogeneous-ISA cores with varied power consumption. To ensure that all tasks meet the timing and thermal constraints, the admission controls are then derived for both 3D homogeneous and heterogeneous-ISA multicore processors with corresponding task models. In this dissertation, we made the contributions as follows: (1) The thermal size (budget) concept is first presented to construct the relation between heat generation, thermal conduction, and execution frequency for thermal management. (2) The admission control is first proposed for 3D multicore processors to prevent the thermal constraint violations and guarantee the quality of service of tasks. (3) The proposed thermal management can universally adapt to all 2D/3D homogeneous/heterogeneous multicore processors with existing schedulers. The evaluation results indicate that the proposed framework registered 20-60% improvement in system utilization compared with existing thermal managements while meeting the thermal constraints.

    1 Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 2 Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 2.1 2D Homogeneous Multicore Processor . . . . . . . . . . . . . . . . 5 2.2 2D Heterogeneous Multicore Processor . . . . . . . . . . . . . . . . 7 2.3 3D Multicore Processor . . . . . . . . . . . . . . . . . . . . . . . . . 8 3 System Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 3.1 3D Homogeneous Multicore Processor . . . . . . . . . . . . . . . . 14 3.2 3D Heterogeneous-ISA Multicore Processor . . . . . . . . . . . . . 18 4 Thermal-aware Real-time Task Scheduling for 3D Homogeneous Multicore Processors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 4.1 Thermal-throttling Framework . . . . . . . . . . . . . . . . . . . . 21 4.2 Motivating Example . . . . . . . . . . . . . . . . . . . . . . . . . . 22 4.3 Thermal Size Assignment . . . . . . . . . . . . . . . . . . . . . . . 27 4.4 Thermal-throttling Server . . . . . . . . . . . . . . . . . . . . . . . 30 4.5 Thermal-throttling Dispatcher . . . . . . . . . . . . . . . . . . . . 33 4.6 Admission Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 5 Thermal-aware Real-time Task Scheduling for 3D Heterogeneous-ISA Multicore Processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 5.1 Synthetic Thermal-throttling Framework . . . . . . . . . . . . . . 50 5.2 Motivating Example . . . . . . . . . . . . . . . . . . . . . . . . . . 51 5.3 Thermal Size Ratio Detection . . . . . . . . . . . . . . . . . . . . . 53 5.4 Synthetic Thermal-throttling Server . . . . . . . . . . . . . . . . . 56 5.5 Synthetic Thermal-throttling Dispatcher with Thermal Budget Reclaiming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 5.6 Admission Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 6 Performance Evaluation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 6.1 3D Homogeneous Multicore Processor . . . . . . . . . . . . . . . . 70 6.1.1 Experiment Setting . . . . . . . . . . . . . . . . . . . . . . . 70 6.1.2 Experimental Results . . . . . . . . . . . . . . . . . . . . . . 74 6.1.2.1 Comparison of Thermal Size Assignments in the Absence of Admission Control . . . . . . . . . . . 74 6.1.2.2 Comparison of Thermal Size Assignments in the Presence of Admission Control . . . . . . . . . . . 76 6.1.2.3 Feasibility Verification . . . . . . . . . . . . . . . . 79 6.1.2.4 Temperature Distribution . . . . . . . . . . . . . . 83 6.2 3D Heterogeneous-ISA Multicore Prcoessor . . . . . . . . . . . . . 85 6.2.1 Experiment Setting . . . . . . . . . . . . . . . . . . . . . . . 86 6.2.2 Experimental Results . . . . . . . . . . . . . . . . . . . . . . 88 6.2.2.1 Feasibility Verification . . . . . . . . . . . . . . . . 88 6.2.2.2 Comparison of Thermal Size Assignments . . . . 91 6.2.2.3 Schedualbility of Thermal Budget Reclaiming . . 93 7 Real-Life Case Study in 2D Platforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 7.1 Homogeneous Multicore Platform . . . . . . . . . . . . . . . . . . . 95 7.1.1 Hot/Cool Workload Profile . . . . . . . . . . . . . . . . . . . 97 7.1.2 Cool Workload . . . . . . . . . . . . . . . . . . . . . . . . . . 99 7.1.3 Hot Workload . . . . . . . . . . . . . . . . . . . . . . . . . . 101 7.2 Heterogeneous Multicore Platform . . . . . . . . . . . . . . . . . . 103 7.2.1 GPU/CPU Dependency Profile . . . . . . . . . . . . . . . . . 104 7.2.2 FPS metering Evaluation . . . . . . . . . . . . . . . . . . . 106 7.2.3 MOBILE GPUMARK Evaluation . . . . . . . . . . . . . . . 108 8 Conclusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .111 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113

    [1] “Thermal debugging guide,” tech. rep., Qualcomm Inc., https://developer.qualcomm.com/qfile/28825/lm80-p0436-8_thermal_debugging_guide.pdf.
    [2] W.-L. Hung, G. M. Link, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, “Interconnectand thermal-aware floorplanning for 3d microprocessors,” in 7th International Symposium on Quality Electronic Design (ISQED’06), p. 6, IEEE, 2006.
    [3] K. Puttaswamy and G. H. Loh, “Thermal analysis of a 3d die-stacked high-performance microprocessor,” in Proceedings of the 16th ACM Great Lakes symposium on VLSI, pp. 19–24, ACM, 2006.
    [4] M. B. Healy, K. Athikulwongse, R. Goel, M. M. Hossain, D. H. Kim, Y.-J. Lee, D. L. Lewis, T.-W. Lin, C. Liu, M. Jung, et al., “Design and analysis of 3d-maps: A many-core 3d processor with stacked memory.,” in Proceedings of IEEE Custom Integrated Circuits Conference, pp. 1–4, 2010.
    [5] R. G. Dreslinski, D. Fick, B. Giridhar, G. Kim, S. Seo, M. Fojtik, S. Satpathy, Y. Lee, D. Kim, N. Liu, et al., “Centip3de: a many-core prototype exploring 3d integration and near-threshold computing,” Communications of the ACM, vol. 56, no. 11, pp. 97–104, 2013.
    [6] J. Cong, G. Luo, and Y. Shi, “Thermal-aware cell and through-siliconvia co-placement for 3d ics,” in Proceedings of the Design Automation Conference, pp. 670–675, ACM, 2011.
    [7] M. Koyanagi, “Recent progress in 3d integration technology,” IEICE Electronics Express, vol. 12, no. 7, pp. 1–17, 2015.
    [8] Q. Zou, M. Poremba, R. He, W. Yang, J. Zhao, and Y. Xie, “Heterogeneous architecture design with emerging 3d and non-volatile memory technologies,” in Design Automation Conference (ASP-DAC), Asia and South Pacific, pp. 785–790, IEEE, 2015.
    [9] J. Zhao, X. Dong, and Y. Xie, “Cost-aware three-dimensional (3d) manycore multiprocessor design,” in Proceedings of the 47th Design Automation Conference, pp. 126–131, ACM, 2010.
    [10] J. Donald and M. Martonosi, “Techniques for multicore thermal management: Classification and new exploration,” in ACM SIGARCH Computer Architecture News, vol. 34, pp. 78–88, IEEE Computer Society, 2006.
    [11] M. Gomaa, M. D. Powell, and T. Vijaykumar, “Heat-and-run: leveraging smt and cmp to manage power density through the operating system,” in ACM SIGARCH Computer Architecture News, vol. 32, pp. 260–270, ACM, 2004.
    [12] J. Choi, C.-Y. Cher, H. Franke, H. Hamann, A. Weger, and P. Bose, “Thermal-aware task scheduling at the system software level,” in Proceedings of the 2007 international symposium on Low power electronics and design, pp. 213–218, ACM, 2007.
    [13] L. Schor, I. Bacivarov, H. Yang, and L. Thiele, “Worst-case temperature guarantees for real-time applications on multi-core systems,” in Real-Time and Embedded Technology and Applications Symposium (RTAS), 2012 IEEE 18th, pp. 87–96, IEEE, 2012.
    [14] J.-J. Chen, S. Wang, and L. Thiele, “Proactive speed scheduling for realtime tasks under thermal constraints,” in Real-Time and Embedded Technology and Applications Symposium, 2009. RTAS 2009. 15th IEEE,
    pp. 141–150, IEEE, 2009.
    [15] N. Fisher, J.-J. Chen, S. Wang, and L. Thiele, “Thermal-aware global real-time scheduling on multicore systems,” in Real-Time and Embedded Technology and Applications Symposium, 2009. RTAS 2009. 15th
    IEEE, pp. 131–140, IEEE, 2009.
    [16] H. Huang, V. Chaturvedi, G. Quan, J. Fan, and M. Qiu, “Throughput maximization for periodic real-time systems under the maximal temperature constraint,” ACM Transactions on Embedded Computing Systems
    (TECS), vol. 13, no. 2s, p. 70, 2014.
    [17] N. Fisher, J.-J. Chen, S.Wang, and L. Thiele, “Thermal-aware global realtime scheduling and analysis on multicore systems,” Journal of Systems Architecture, vol. 57, no. 5, pp. 547–560, 2011.
    [18] G. Quan and V. Chaturvedi, “Feasibility analysis for temperatureconstraint hard real-time periodic tasks,” IEEE Transactions on Industrial Informatics, vol. 6, no. 3, pp. 329–339, 2010.
    [19] D. Brooks and M. Martonosi, “Dynamic thermal management for highperformance microprocessors,” in High-Performance Computer Architecture, 2001. HPCA. The Seventh International Symposium on, pp. 171–
    182, IEEE, 2001.
    [20] F. Zanini, D. Atienza, L. Benini, and G. De Micheli, “Multicore thermal management with model predictive control,” in Circuit Theory and Design, 2009. ECCTD 2009. European Conference on, pp. 711–714, IEEE, 2009.
    [21] V. Hanumaiah, S. Vrudhula, and K. S. Chatha, “Performance optimal online dvfs and task migration techniques for thermally constrained multicore processors,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 11, pp. 1677–1690, 2011.
    [22] V. Hanumaiah, S. Vrudhula, and K. S. Chatha, “Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control,” in Proceedings of the 2009 International Conference on Computer-Aided Design, pp. 310–313, ACM, 2009.
    [23] R. Rao and S. Vrudhula, “Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors,” in Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, pp. 537–542, IEEE Press, 2008.
    [24] S. Murali, A. Mutapcic, D. Atienza, R. Gupta, S. Boyd, and G. De Micheli, “Temperature-aware processor frequency assignment for mpsocs using
    convex optimization,” in Hardware/Software Codesign and System Synthesis (CODES+ ISSS), 2007 5th IEEE/ACM/IFIP International Conference on, pp. 111–116, IEEE, 2007.
    [25] S. Murali, A. Mutapcic, D. Atienza, R. Gupta, S. Boyd, L. Benini, and G. De Micheli, “Temperature control of high-performance multi-core platforms using convex optimization,” in 2008 Design, Automation and Test in Europe, pp. 110–115, IEEE, 2008.
    [26] Y. Li, K. Skadron, D. Brooks, and Z. Hu, “Performance, energy, and thermal considerations for smt and cmp architectures,” in 11th Interna- tional Symposium on High-Performance Computer Architecture, pp. 71–82, IEEE, 2005.
    [27] K. Stavrou and P. Trancoso, “Thermal-aware scheduling: a solution for future chip multiprocessors thermal problems,” in 9th EUROMICRO Conference on Digital System Design (DSD’06), pp. 123–126, IEEE, 2006.
    [28] I. Yeo, C. C. Liu, and E. J. Kim, “Predictive dynamic thermal management for multicore systems,” in Proceedings of the 45th annual Design Automation Conference, pp. 734–739, ACM, 2008.
    [29] I. Yeo and E. J. Kim, “Temperature-aware scheduler based on thermal behavior grouping in multicore systems,” in Proceedings of the Conference on Design, Automation and Test in Europe, pp. 946–951, European Design and Automation Association, 2009.
    [30] R. Z. Ayoub and T. S. Rosing, “Predict and act: dynamic thermal management for multi-core processors,” in Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design, pp. 99–104, ACM, 2009.
    [31] B. Yun, K. G. Shin, and S. Wang, “Thermal-aware scheduling of critical applications using job migration and power-gating on multi-core chips,” in 2011IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications, pp. 1083–1090, IEEE, 2011.
    [32] S. Wang and J.-J. Chen, “Thermal-aware lifetime reliability in multicore systems,” in Quality Electronic Design (ISQED), 2010 11th International Symposium on, pp. 399–405, IEEE, 2010.
    [33] Y. G. Kim, M. Kim, J. M. Kim, and S.W. Chung, “M-dtm: migration-based dynamic thermal management for heterogeneous mobile multi-core processors,” in Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, pp. 1533–1538, EDA Consortium, 2015.
    [34] S. Sharifi, D. Krishnaswamy, and T. ˇS. Rosing, “Prometheus: A proactive method for thermal management of heterogeneous mpsocs,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Sys-
    tems, vol. 32, no. 7, pp. 1110–1123, 2013.
    [35] T. S. Muthukaruppan, M. Pricopi, V. Venkataramani, T. Mitra, and S. Vishin, “Hierarchical power management for asymmetric multi-core in dark silicon era,” in Proceedings of the 50th Annual Design Automation Conference, p. 174, ACM, 2013.
    [36] J. A. Winter, D. H. Albonesi, and C. A. Shoemaker, “Scalable thread scheduling and global power management for heterogeneous many-core architectures,” in Proceedings of the 19th international conference on Parallel architectures and compilation techniques, pp. 29–40, ACM, 2010.
    [37] S. Saha, Y. Lu, and J. S. Deogun, “Thermal-constrained energy-aware partitioning for heterogeneous multi-core multiprocessor real-time systems,” in 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, pp. 41–50, IEEE, 2012.
    [38] T. Chantem, Y. Xiang, X. S. Hu, and R. P. Dick, “Enhancing multicore reliability through wear compensation in online assignment and scheduling,” in Proceedings of the Conference on Design, Automation and Test in Europe, pp. 1373–1378, EDA Consortium, 2013.
    [39] G. Liu, J. Park, and D. Marculescu, “Dynamic thread mapping for highperformance, power-efficient heterogeneous many-core systems,” in 2013 IEEE 31st International Conference on Computer Design (ICCD), pp. 54–61, IEEE, 2013.
    [40] S. Kato, K. Lakshmanan, Y. Ishikawa, and R. Rajkumar, “Resource sharing in gpu-accelerated windowing systems,” in Real-Time and Embedded Technology and Applications Symposium (RTAS), 2011 17th IEEE,
    pp. 191–200, IEEE, 2011.
    [41] G. A. Elliott and J. H. Anderson, “Globally scheduled real-time multiprocessor systems with gpus,” Real-Time Systems, vol. 48, no. 1, pp. 34–74, 2012.
    [42] Y.-S. Chen, H. C. Liao, and T.-H. Tsai, “Online real-time task scheduling in heterogeneous multicore system-on-a-chip,” Parallel and Distributed Systems, IEEE Transactions on, vol. 24, no. 1, pp. 118–130, 2013.
    [43] G. A. Elliott, B. C. Ward, and J. H. Anderson, “Gpusync: A framework for real-time gpu management,” in Real-Time Systems Symposium (RTSS), 2013 IEEE 34th, pp. 33–44, IEEE, 2013.
    [44] S. Sharifi, A. K. Coskun, and T. S. Rosing, “Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor socs,” in Proceedings of the 2010 Asia and South Pacific Design Automation Conference, pp. 873–878, IEEE Press, 2010.
    [45] G. Singla, G. Kaur, A. K. Unver, and U. Y. Ogras, “Predictive dynamic thermal and power management for heterogeneous mobile platforms,” in Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, pp. 960–965, EDA Consortium, 2015.
    [46] F. Paterna and T. S. Rosing, “Modeling and mitigation of extra-soc thermal coupling effects and heat transfer variations in mobile devices,” in Computer-Aided Design (ICCAD), 2015 IEEE/ACM International Conference on, pp. 831–838, IEEE, 2015.
    [47] S. Kang, H. J. Choi, C. H. Kim, S. W. Chung, D. Kwon, and J. C. Na, “Exploration of cpu/gpu co-execution: from the perspective of performance,
    energy, and temperature,” in Proceedings of the 2011 ACM Symposium on Research in Applied Computation, pp. 38–43, ACM, 2011.
    [48] M. B. Healy, H.-H. S. Lee, G. H. Loh, and S. K. Lim, “Thermal optimization in multi-granularity multi-core floorplanning,” in Proceedings of the 2009 Asia and South Pacific Design Automation Conference, pp. 43–48, IEEE Press, 2009.
    [49] S. Sharifi, R. Ayoub, and T. S. Rosing, “Tempomp: Integrated prediction and management of temperature in heterogeneous mpsocs,” in Proceedings of the Conference on Design, Automation and Test in Europe,
    pp. 593–598, EDA Consortium, 2012.
    [50] H.-H. S. Lee and K. Chakrabarty, “Test challenges for 3d integrated circuits,” IEEE Design & Test of Computers, vol. 26, no. 5, pp. 26–35, 2009.
    [51] S. K. Millican and K. K. Saluja, “Linear programming formulations for thermal-aware test scheduling of 3d-stacked integrated circuits,” in 2012 IEEE 21st Asian Test Symposium, pp. 37–42, IEEE, 2012.
    [52] F. A. Hussin, T. E. C. Yu, T. Yoneda, and H. Fujiwara, “Redsocs-3d: Thermal-safe test scheduling for 3d-stacked soc,” in Circuits and Systems (APCCAS), 2010 IEEE Asia Pacific Conference on, pp. 264–267, IEEE, 2010.
    [53] N. Aghaee, Z. Peng, and P. Eles, “Temperature-gradient based test scheduling for 3d stacked ics,” in Electronics, Circuits, and Systems (ICECS), 2013 IEEE 20th International Conference on, pp. 405–408,
    IEEE, 2013.
    [54] N. Aghaee, Z. Peng, and P. Eles, “Temperature-gradient-based burn-in and test scheduling for 3-d stacked ics,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, no. 12, pp. 2992–3005, 2015.
    [55] S. K. Millican and K. K. Saluja, “A test partitioning technique for scheduling tests for thermally constrained 3d integrated circuits,” in 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems, pp. 20–25, IEEE, 2014.
    [56] L. Jiang, Q. Xu, K. Chakrabarty, and T. Mak, “Integrated testarchitecture optimization and thermal-aware test scheduling for 3-d socs under pre-bond test-pin-count constraint,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 20, no. 9, pp. 1621–1633, 2012.
    [57] A. K. Coskun, J. L. Ayala, D. Atienza, T. S. Rosing, and Y. Leblebici, “Dynamic thermal management in 3d multicore architectures,” in 2009 Design, Automation & Test in Europe Conference & Exhibition, pp. 1410–1415, IEEE, 2009.
    [58] S. Liu, J. Zhang, Q. Wu, and Q. Qiu, “Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor,” in Quality Electronic Design (ISQED), 2010 11th International Symposium on, pp. 390–398, IEEE, 2010.
    [59] C.-L. Lung, Y.-L. Ho, D.-M. Kwai, and S.-C. Chang, “Thermal-aware online task allocation for 3d multi-core processor throughput optimization,” in 2011 Design, Automation & Test in Europe, pp. 1–6, IEEE, 2011.
    [60] X. Zhou, J. Yang, Y. Xu, Y. Zhang, and J. Zhao, “Thermal-aware task scheduling for 3d multicore processors,” IEEE Transactions on Parallel and Distributed Systems, vol. 21, no. 1, pp. 60–71, 2010.
    [61] J. Li, M. Qiu, J.-W. Niu, L. T. Yang, Y. Zhu, and Z. Ming, “Thermalaware task scheduling in 3d chip multiprocessor with real-time constrained workloads,” ACM Transactions on Embedded Computing Systems, vol. 12, no. 2, p. 24, 2013.
    [62] K. Kang, J. Kim, S. Yoo, and C.-M. Kyung, “Runtime power management of 3-d multi-core architectures under peak power and temperature constraints,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 6, pp. 905–918, 2011.
    [63] C.-H. Liao, C. H.-P. Wen, and K. Chakrabarty, “An online thermalconstrained task scheduler for 3d multi-core processors,” in Proceedings of the Design, Automation & Test in Europe Conference & Exhibition,
    pp. 351–356, EDA Consortium, 2015.
    [64] C.-H. Liao and C. H.-P. Wen, “Thermal-constrained task scheduling on 3-d multicore processors for throughput-and-energy optimization,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, no. 11, pp. 2719–2723, 2015.
    [65] T.-H. Tsai and Y.-S. Chen, “Thermal-throttling server: A thermal-aware real-time task scheduling framework for three-dimensional multicore chips,” Journal of Systems and Software, vol. 112, pp. 11–25, 2016.
    [66] H. Wang, Y. Fu, T. Liu, and J. Wang, “Thermal management via task scheduling for 3d noc based multi-processor,” in SoC Design Conference (ISOCC), 2010 International, pp. 440–444, IEEE, 2010.
    [67] Y. Cui,W. Zhang, V. Chaturvedi, W. Liu, and B. He, “Thermal-aware task scheduling for 3d-network-on-chip: A bottom to top scheme,” Journal of Circuits, Systems and Computers, vol. 25, no. 01, p. 1640003, 2016.
    [68] H. Hong, J. Lim, H. Lim, and S. Kang, “New thermal-aware voltage island formation for 3dmany-core processors,” ETRI Journal, vol. 37, no. 1, pp. 118–127, 2015.
    [69] A. Mosayyebzadeh, M. M. Amiraski, and S. Hessabi, “Thermal and power aware task mapping on 3d network on chip,” Computers & Electrical En- gineering, 2016.
    [70] J. Meng, K. Kawakami, and A. K. Coskun, “Optimizing energy efficiency of 3-d multicore systems with stacked dram under power and thermal constraints,” in Proceedings of the 49th Annual Design Automation Conference, pp. 648–655, ACM, 2012.
    [71] F. Hameed, M. A. Al Faruque, and J. Henkel, “Dynamic thermal management in 3d multi-core architecture through run-time adaptation,” in 2011 Design, Automation & Test in Europe, pp. 1–6, IEEE, 2011.
    [72] D. Zhao, H. Homayoun, and A. V. Veidenbaum, “Temperature aware thread migration in 3d architecture with stacked dram,” in Quality Electronic Design (ISQED), 2013 14th International Symposium on, pp. 80–
    87, IEEE, 2013.
    [73] Y. Cheng, L. Zhang, Y. Han, and X. Li, “Thermal-constrained task allocation for interconnect energy reduction in 3-d homogeneous mpsocs,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 2, pp. 239–249, 2013.
    [74] S. Jin, Y. Wang, and T. Liu, “On optimizing system energy of voltage–frequency island based 3-d multi-core socs under thermal constraints,”Integration, the VLSI Journal, vol. 48, pp. 36–45, 2015.
    [75] J. Lee, J. Ahn, K. Choi, and K. Kang, “Thor: Orchestrated thermal managementof cores and networks in 3d many-core architectures,” in Design Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific, pp. 773–778, IEEE, 2015.
    [76] M. M. Sabry, A. K. Coskun, D. Atienza, T. ˇS . Rosing, and T. Brunschwiler, “Energy-efficient multiobjective thermal control for liquid-cooled 3-d stacked architectures,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 12, pp. 1883–1896, 2011.
    [77] A. K. Coskun, J. L. Ayala, D. Atienza, and T. S. Rosing, “Modeling and dynamic management of 3d multicore systems with liquid cooling,” in 2009 17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC), pp. 35–40, IEEE, 2009.
    [78] H. Qian, X. Huang, H. Yu, and C. H. Chang, “Cyber-physical thermal management of 3d multi-core cache-processor system with microfluidic cooling,” Journal of Low Power Electronics, vol. 7, no. 1, pp. 110–121, 2011.
    [79] F. Zanini, M. M. Sabry, D. Atienza, and G. De Micheli, “Hierarchical thermal management policy for high-performance 3d systems with liquid cooling,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 1, no. 2, pp. 88–101, 2011.
    [80] Y. Hu, S. Chen, L. Peng, E. Song, and J.-W. Choi, “Thermal control in 3d liquid cooled processors via hotspot separation and thermoelectric cooling,” International Journal of Computer Science & Information Technology, vol. 7, no. 2, p. 53, 2015.
    [81] R. Wilhelm, J. Engblom, A. Ermedahl, N. Holsti, S. Thesing, D. Whalley, G. Bernat, C. Ferdinand, R. Heckmann, T. Mitra, et al., “The worst-case execution-time problem–overview of methods and survey of tools,” ACM Transactions on Embedded Computing Systems (TECS), vol. 7, no. 3, p. 36, 2008.
    [82] C. L. Liu and J. W. Layland, “Scheduling algorithms for multiprogramming in a hard-real-time environment,” Journal of the ACM (JACM), vol. 20, no. 1, pp. 46–61, 1973.
    [83] J. M. Rabaey, A. P. Chandrakasan, and B. Nikolic, Digital integrated circuits, vol. 2. Prentice hall Englewood Cliffs, 2002.
    [84] D. Zhu, “Reliability-aware dynamic energy management in dependable embedded real-time systems,” in 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS’06), pp. 397–407, IEEE, 2006.
    [85]R. Xu, D. Moss´e, and R. Melhem, “Minimizing expected energy in realtime embedded systems,” in Proceedings of the 5th ACM international conference on Embedded software, pp. 251–254, ACM, 2005.
    [86] R. Jejurikar, C. Pereira, and R. Gupta, “Leakage aware dynamic voltage scaling for real-time embedded systems,” in Proceedings of the 41st annual Design Automation Conference, pp. 275–280, ACM, 2004.
    [87] V. Chaturvedi, H. Huang, and G. Quan, “Leakage aware scheduling on maximum temperature minimization for periodic hard real-time systems,” in Computer and Information Technology (CIT), 2010 IEEE 10th International Conference on, pp. 1802–1809, IEEE, 2010.
    [88] J.-J. Chen, S. Wang, and L. Thiele, “Proactive speed scheduling for realtime tasks under thermal constraints,” in Real-Time and Embedded Technology and Applications Symposium, 2009. RTAS 2009. 15th IEEE, pp. 141–150, IEEE, 2009.
    [89] W. Liao, L. He, and K. M. Lepak, “Temperature and supply voltage aware performance and power modeling at microarchitecture level,” Computer- Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 24, no. 7, pp. 1042–1053, 2005.
    [90] T. Chantem, X. S. Hu, and R. P. Dick, “Temperature-aware scheduling and assignment for hard real-time applications on mpsocs,” Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 19, no. 10, pp. 1884–1897, 2011.
    [91] Y. Liu, R. P. Dick, L. Shang, and H. Yang, “Accurate temperaturedependent integrated circuit leakage power estimation is easy,” in Proceedings of the conference on Design, automation and test in Europe,
    pp. 1526–1531, EDA Consortium, 2007.
    [92] K. Skadron, T. Abdelzaher, and M. R. Stan, “Control-theoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management,” in High-Performance Computer Architecture, 2002. Proceedings. Eighth International Symposium on, pp. 17–28, IEEE, 2002.
    [93] C. Zhu, Z. Gu, L. Shang, R. P. Dick, and R. Joseph, “Three-dimensional chip-multiprocessor run-time thermal management,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 8, pp. 1479–1492, 2008.
    [94] X. Zhou, J. Yang, Y. Xu, Y. Zhang, and J. Zhao, “Thermal-aware task scheduling for 3d multicore processors,” Parallel and Distributed Systems, IEEE Transactions on, vol. 21, no. 1, pp. 60–71, 2010.
    [95] K. Kang, G. De Micheli, S. Lee, and C.-M. Kyung, “Temperature-aware runtime power management for chip-multiprocessors with 3-d stacked cache,” in Quality Electronic Design (ISQED), 2014 15th International
    Symposium on, pp. 163–170, IEEE, 2014.
    [96] Y. Yang, C. Zhu, Z. Gu, L. Shang, and R. P. Dick, “Adaptive multi-domain thermal modeling and analysis for integrated circuit synthesis and design,” in Computer-Aided Design, 2006. ICCAD’06. IEEE/ACM International Conference on, IEEE, 2006.
    [97] H. Mizunuma, Y.-C. Lu, and C.-L. Yang, “Thermal coupling aware task migration using neighboring core search for many-core systems,” in VLSI Design, Automation, and Test (VLSI-DAT), 2013 International Symposium on, pp. 1–4, IEEE, 2013.
    [98] B. Yun, K. G. Shin, and S. Wang, “Predicting thermal behavior for temperature management in time-critical multicore systems,” in Real-Time and Embedded Technology and Applications Symposium (RTAS), 2013
    IEEE 19th, pp. 185–194, IEEE, 2013.
    [99] C.-H. Chou, M. E. Belviranli, and L. N. Bhuyan, “Thermal prediction and scheduling of network applications on multicore processors,” in Proceedings of the ninth ACM/IEEE symposium on Architectures for networking and communications systems, pp. 115–116, IEEE Press, 2013.
    [100] H.-H. Chu, Y.-C. Kao, and Y.-S. Chen, “Adaptive thermal-aware task scheduling for multi-core systems,” Journal of Systems and Software, vol. 99, pp. 155–174, 2015.
    [101] L. Yu, H. Yang, T. T. Jing, M. Xu, R. Geer, and W. Wang, “Electrical characterization of rf tsv for 3d multi-core and heterogeneous ics,” in Proceedings of the International Conference on Computer-Aided Design, pp. 686–693, IEEE Press, 2010.
    [102] J.-J. Chen and C.-F. Kuo, “Energy-efficient scheduling for real-time systems on dynamic voltage scaling (dvs) platforms.,” in 2007 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, pp. 28–38, 2007.
    [103] R. Twiss, “Nyquist’s and thevenin’s theorems generalized for nonreciprocal linear networks,” Journal of Applied Physics, vol. 26, no. 5, pp. 599–602, 1955.
    [104] M. Chrobak, C. Dぴurr, M. Hurand, and J. Robert, “Algorithms for temperature-aware task scheduling in microprocessor systems,” in In-ternational Conference on Algorithmic Applications in Management,pp. 120–130, Springer, 2008.
    [105] “Qualcomm snapdragon processor,” tech. rep., Qualcomm Inc., http://www.qualcomm.com/chipsets/snapdragon.
    [106] T.-H. Tsai and Y.-S. Chen, “Thermal-aware real-time task scheduling for three-dimensional multicore chip,” in Proceedings of the 27th Annual ACM Symposium on Applied Computing, pp. 1618–1624, ACM, 2012.
    [107] O. U. P. Zapata and P. M. Alvarez, “Edf and rm multiprocessor scheduling algorithms: Survey and performance evaluation,” Seccion de Computacion Av. IPN, vol. 2508, 2005.
    [108] F. Yao, A. Demers, and S. Shenker, “A scheduling model for reduced cpu energy,” in Foundations of Computer Science, 1995. Proceedings., 36th Annual Symposium on, pp. 374–382, IEEE, 1995.
    [109] H. Aydin, R. Melhem, D. Moss´e, and P. Mej´ıa-Alvarez, “Determining optimal processor speeds for periodic real-time tasks with different power characteristics,” in Real-Time Systems, 13th Euromicro Conference on,2001., pp. 225–232, IEEE, 2001.
    [110] J. M. L´opez, M. Garc´ıa, J. L. Diaz, and D. F. Garcia, “Worst-case utilization bound for edf scheduling on real-time multiprocessor systems,” in Real-Time Systems, 2000. Euromicro RTS 2000. 12th Euromicro Confer-ence on, pp. 25–33, IEEE, 2000.
    [111] J. M. Lopez, M. Garc´ıa, J. L. Diaz, and D. F. Garcia, “Utilization bounds for multiprocessor rate-monotonic scheduling,” Real-Time Sys-tems, vol. 24, no. 1, pp. 5–28, 2003.
    [112] J. Lee, B.-G. Nam, and H.-J. Yoo, “Dynamic voltage and frequency scaling (dvfs) scheme for multi-domains power management,” in Solid-State Circuits Conference, IEEE Asian, pp. 360–363, IEEE, 2007.
    [113] J. Goossens, S. Funk, and S. Baruah, “Priority-driven scheduling of periodic task systems on multiprocessors,” Real-time systems, vol. 25, no. 2-3, pp. 187–205, 2003.
    [114] “Ptscalar,” tech. rep., University of California at Los Angeles, http://eda.ee.ucla.edu/PTscalar/, 2003.
    [115] T. Austin, E. Larson, and D. Ernst, “Simplescalar: An infrastructure for computer system modeling,” Computer, vol. 35, no. 2, pp. 59–67, 2002.
    [116] “Hotspot,” tech. rep., Univ. of Virginia Fund for Excellence in Science and Engineering, http://lava.cs.virginia.edu/HotSpot/, 2010.
    [117] Dick James, “Inside the Apple A7 from the iPhone 5s - Updated.” https://www.chipworks.com/about-chipworks/overview/blog/inside-apple-a7-iphone-5s-updated, 2013.
    [118] Ashraf Eassa, “Intel Vindicated, Very Competitive With Apple’s A7.” http://seekingalpha.com/article/1848061-intel-vindicated-very-competitive-with-apples-a7, 2013.
    [119] “Inforce 6410plusTM single board computer (sbc),” tech. rep., Inforce Computing Inc., http://www.inforcecomputing.com/.
    [120] EEMBCr, “CoreMark-Pror.” http://www.eembc.org/coremark/about.php?b=pro, 2015.
    [121] “Nexus 5x,” tech. rep., Google Inc., https://www.google.com.tw/nexus/5x/.
    [122] Mihail Plutonium, “FPS metering (free benchmark).” https://play.google.com/store/apps/details?id=com.plutonium.software, 2016.
    [123] Silicon Studio, “MOBILE GPUMARK.” https://play.google.com/store/apps/details?id=jp.co.siliconstudio.MOBILEGPUMARK, 2016.

    無法下載圖示 全文公開日期 2021/11/29 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE