簡易檢索 / 詳目顯示

研究生: 甘滄棋
Tsang-Chi Kan
論文名稱: 考量多型態導通孔裝置的規則式冗餘導通孔感知標準元件庫設計
Rule-Based Redundant Via-Aware Standard Cell Design Considering Multiple Via Mechanism
指導教授: 阮聖彰
Shanq-Jang Ruan
口試委員: 許孟超
Mon-Chau Shie
李毅郎
Yih-Lang Li
林榮彬
Rung-Bin Lin
陳宏明
Hung-Ming Chen
陳泰蓁
Tai-Chen Chen
賴飛羆
Feipei Lai
學位類別: 博士
Doctor
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2013
畢業學年度: 101
語文別: 英文
論文頁數: 89
中文關鍵詞: 標準元件可製造性設計電路佈局冗餘導通孔.
外文關鍵詞: Design for manufacturability (DFM), layout, redundant via, standard cell (SC).
相關次數: 點閱:382下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 儘管半導體的製造技術已經進入奈米層級,導通孔失效的問題仍然無法有效地被解決;可製造性設計的方法已被用來縮短積體電路設計與半導體製造的差距,
    在以元件為基礎(cell-based)的超大型的積體電路設計中,傳統的解決方法是在繞線時增加冗餘導通孔(redundant via)來改善導通孔的良率及可靠性。運用冗餘導通孔的設計在良率的改善上,會是單一導通孔的十倍到百倍之間,然而在冗餘導通孔的插入上,必須去解決第一層冗餘導通孔低插入率(redundant via1 insertion rate)的問題。此外,傳統元件庫的設計方法是透過視覺逐一去檢查幾何佈局(layout),經由人工反覆調整的方式來達成最佳化,以人工視覺檢查及最佳化的的方法,除了非常耗費時間之外,品質上也是不可靠的。

    在我們的研究中,考量的不只是設計精良的冗餘導通孔感知標準元件(redundant via-aware standard cells)也包含一個標準元件最佳化及連接點資源檢查的工具。本篇論文提出了一個創新的方法,運用以規則為基礎(rule-based)考量多型態導通孔裝置包含雙導通孔(double-via)及矩型導通孔(rectangle-via)來滿足不同製程的需。我們所提出的標準元件設計及最佳化的方法,除了有效降低元件庫設計的時間及改善佈局最佳化的品質問題,而且經過最佳化的冗餘導通孔感知標準元件庫也明顯改善冗餘導通孔的插入率。為支援越來越複雜積體電路設計的應用,一個元件庫包含上千個標準元件也日益多見;因此,透過自動化的方法比起傳統視覺檢查的方式來完成標準元件庫的佈局檢查及最佳化,是一個更有效找出標準元件第一層金屬連接點(metal 1 pins)資源的工具。

    我們所提出的方法不僅解決第一層冗餘導通孔低插入率的問題,整體的冗餘導通孔插入率因此更為提高,製造良率也獲得改善,而且以規則為基礎的設計方法可以大幅地減少標準元件庫設計及最佳化時間;此外,此設計方法也可以被應用在先進製程的標準元件設計流程中。經過最佳化標準元件的面積不會增加,其元件特性也維持不變,我們所提出的設計方法不但很容易實施,而且經過最佳化的標準元件庫也適用於不同的繞線工具中。實驗結果證明,我們所設計的標準元件庫大幅地提高整體冗餘導通孔插入率。


    Via failure persists despite nanometer-scale advances in semiconductor manufacturing technology. The conventional cell-based design improves via yield and reliability by adding redundant vias in routing for VLSI designs. Doing so can improve the yield by 10X-100X over those of single-via designs. DFM methods have been developed to bridge the gap between design and manufacturing. However, a low redundant via1 insertion rate must be addressed in redundant via insertion. Additionally, manual- and visual-based checks are required to locate pins and tune the geometries of layouts in conventional SC library design methods. Such tasks can be extremely time consuming and unreliable. This study concerns not only well-designed redundant via-aware standard cells but also an efficient standard cell optimizer and checker for standard cell design.

    A nanometer-scale redundant via-aware standard cell library design scheme is developed for improving the redundant via1 insertion rate. The area and performance advantages of rectangle-via are shown compared to the double-via. This study considered the problems of nanometer-scale SC area and low via1 insertion rate for industrial DFM requirement. The novel features of the proposed scheme are analyzed in terms of input capacitance, delay and area for each SC library. In addition, RVLC algorithm used for pin size check, analysis of performance variability of libraries, and
    routing approaches for redundant via-aware SC library design. Experimental results reveal that the proposed library considerably improves total inserted redundant vias and total inserted redundant via1s. The area difference between 4 lambda squared and 6 lambda squared (rectangle-via versus double-via) represents a threshold between no impact and a measurable impact to performance.

    This work also presents an efficient redundant via-aware SC optimization scheme. The proposed rule-based method is based on an efficient layout check and optimization scheme that considers various redundant via configurations including the double-via and rectangle-via to shorten the design cycles and enhance the design quality of SCs. The optimized SCs effectively increase the redundant via insertion rate, particularly the insertion rate of via1. Modern nanometer-scale SC libraries may contain thousands of cells to satisfy complex VLSI requirements. Hence, an automatic layout checker and optimizer are more efficient than conventional visual check and manual optimization in identifying expandable metal 1 pins in libraries.

    In addition to solving the problem of a low via1 insertion rate in nanometer regimes, the proposed scheme provides an efficient layout optimizer for designing standard cells. Capable of significantly reducing design time and effort, the proposed rule-based method is applicable to the SC design flow. The proposed ERVLCO method is less time consuming and more reliable than the conventional vision-based check and manual optimization methods, which may take several weeks. Consequently, by improving the pin accessibility of the standard cell layout, the presented method not only significantly increases the rate of redundant via insertion but also offers great efficiency and reliability. Moreover, the optimized library incurs no performance penalty in terms of cell area and other cell characterization and thus should be compatible with commercially available routers. Experimental results indicate that the optimized SC library increases the double-via1 insertion rate by 21.9%. With the rectangle-via pattern, achieves a redundant via1 insertion rate of 100%.

    Recommendation Form i Committee Form ii Chinese Abstract iii English Abstract iv Acknowledgements vi Table of Contents vii List of Tables x List of Figures xii Table of Algorithms xiv 1 Introduction 1 1.1 Challenges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1.2 Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1.2.1 Standard Cell Optimization Methods . . . . . . . . . . . . . . . . . . . . 3 1.2.2 Redundant Via Insertion Approaches . . . . . . . . . . . . . . . . . . . 4 1.3 Motivations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 1.4 Approaches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 1.5 Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 1.6 Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 2 Preliminaries 12 2.1 Standard Cell Design and Layout Limitations . . . . . . . . . . . . . . . . . . . 12 2.2 Standard Cell and Pitch Definitions . . . . . . . . . . . . . . . . . . . . . . . . 13 2.3 Formulation of Required Resources . . . . . . . . . . . . . . . . . . . . . . . . 15 2.3.1 Required Resources and Layout Patterns of Redundant Vias . . . . . . . 15 2.3.2 Configurable Geometry Definitions for Redundant Vias . . . . . . . . . 18 2.4 Problems of Redundant Via Insertion on Conventional SC Library . . . . . . . . 20 2.5 Formulation of Via Yield . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 2.6 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 3 Practical Redundant Via-Aware SC Design 23 3.1 RVLC Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 3.2 SC Layout Methodology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 3.3 RVLC SC Library Design Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 3.4 Characterization of variability in SC . . . . . . . . . . . . . . . . . . . . . . . . 33 3.5 DVAR and RVAR Routing Methods . . . . . . . . . . . . . . . . . . . . . . . . 34 3.6 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 4 Configurable Redundant Via-Aware SC Optimization 36 4.1 Library Checker and Optimizer . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 4.2 Pseudo-Code of ERVLC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 4.3 Pseudo-Code of GBLO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40 4.4 Optimization Method and Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 4.5 Library Comparisons in Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 4.6 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48 5 Experimental Results 49 5.1 Full Chip Redundant Via Insertion . . . . . . . . . . . . . . . . . . . . . . . . . 49 5.1.1 Comparison of Redundant Via Insertion Rate . . . . . . . . . . . . . . . 50 5.1.2 Comparison of Estimated Via Yield Rate . . . . . . . . . . . . . . . . . 51 5.1.3 Comparison of Wire Length, Timing Performance and Run-time . . . . . 55 5.2 Routing Approaches and SC Libraries . . . . . . . . . . . . . . . . . . . . . . . 56 5.2.1 DVAR with RVALIB . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 5.2.2 RVAR with RVALIB . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 5.3 Configurable Redundant Via-Aware SC Design . . . . . . . . . . . . . . . . . . 58 5.3.1 Comparison of Redundant Via Insertion . . . . . . . . . . . . . . . . . . 62 5.3.2 Layout of Redundant Via Insertion . . . . . . . . . . . . . . . . . . . . . 65 6 Conclusions and Future Work 67 6.1 Contributions of the Dissertation . . . . . . . . . . . . . . . . . . . . . . . . . . 68 6.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 References 70 Copyright Form 74

    [1] J.G. Xi, Improving Yield in RTL-to-GDSII Flows, EE Times, Jul. 11, 2005.
    [2] D. Jang, N. Ha, J.H. Park, S.W. Paek, H.S. Won, and K.M. Choi, “Dfm optimization
    of standard cells considering random and systematic defect,”in International SoC Design
    Conference (ISOCC), 2008, pp. I–70–I–73.
    [3] J.T. Yan, B.Y. Chiang, and Z.W. Chen, “Yield-driven redundant via insertion based on
    probabilistic via-connection analysis,”ICECS ’06. 13th IEEE International Conference on
    Electronics, Circuits and Systems, 2006, pp. 874–877.
    [4] K.Y. Lee and T.C. Wang, “Post-routing redundant via insertion for yield/ reliability
    improvement,”Asia and South Pacific Design Automation Conference, 2006, pp. 24–27.
    [5] T.F. Chang, T.C. Kan, S.H. Yang, and S.J. Ruan,“Enhanced redundant via insertion with multi-
    via mechanisms,”IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2011, pp.
    218–223.
    [6] H.Y. Chen, M.F. Chiang, Y.W. Chang, L. Chen, and B. Han, “Full-chip routing considering
    double-via insertion,”IEEE Trans. Computer-Aided Design of Integrated Circuits and
    Systems, vol.27, no.5, pp. 844 –857, 2008.
    [7] K. McCullen, “Redundant via insertion in restricted topology layouts,”Proceedings of the
    8th International Symposium on Quality Electronic Design (ISQED), 2007, pp. 821–828.
    [8] W.C. Tseng, Y.H. Chen, and R.B. Lin,“Router and cell library co-development for improving
    redundant via insertion at pins,”ICCD, IEEE International Conference, 2008, pp. 646–651.
    [9] A. Diaz and O. Sigmund, “Checkerboard patterns in layout optimization,”Springer Trans.
    Structural and Multidisciplinary Optimization, vol. 10, pp. 40–45, 1995.
    70[10] F. Luo, Y. Jia, and W. W. M. Dai, “Yield-preferred via insertion based on novel
    geotopological technology,”in Asia and South Pacific Conference on Design Automation,
    2006, pp.730-735.
    [11] G. Xu, L.-D. Huang, D. Pan, and M. Wong,“Redundant-via enhanced maze routing for yield
    improvement,”in Proceedings of the ASP-DAC, vol. 2, 2005, pp. 1148–1151.
    [12] H. Yao, Y. Cai, Q. Zhou, and X. Hong, “Multilevel routing with redundant via insertion,”
    IEEE Trans. Circuits and Systems II: Express Briefs, vol. 53, no. 10, pp. 1148–1152, 2006.
    [13] W. Guo, S. Chen, M. F. Chiang, J. W. Shen, and T. Yoshimura, “Convex-cost flow
    based redundant-via insertion with density-balance consideration,”in ASICON IEEE 8th
    International Conference on ASIC, 2009, pp. 1280–1283.
    [14] C. T. Lin, Y. H. Lin, G. C. Su, and Y. L. Li,“Dead via minimization by simultaneous routing
    and redundant via insertion,”in Design Automation Conference (ASP-DAC), 15th Asia and
    South Pacific, 2010, pp. 657–662.
    [15] C. W. Pan and Y. M. Lee, “Redundant via insertion under timing constraints,”in 12th
    International Symposium on Quality Electronic Design (ISQED), 2011, pp. 1–7.
    [16] K. Y. Lee, S. T. Lin, and T. C. Wang, “Enhanced double via insertion using wire bending,”
    in IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 2, pp.
    171–184, 2010.
    [17] S. T. Lin, K. Y. Lee, T. C. Wang, C. K. Koh, and K. Y. Chao, “Simultaneous redundant via
    insertion and line end extension for yield optimization,”in 16th Asia and South Pacific Design
    Automation Conference (ASP-DAC), 2011, pp. 633–638.
    [18] J.W. Shen, C. M. Fang, S. Chen, W. Guo, and T. Yoshimura, “Redundant via allocation for
    layer partition-based redundant via insertion,”in ASICON IEEE 8th International Conference
    on ASIC, 2009, pp. 734–737.
    [19] C. C. Tsai, C. C. Kuo, L. J. Gu, and T. Y. Lee,“Double-via insertion enhanced x-architecture
    clock routing for reliability,”in IEEE International Symposium on Circuits and Systems
    (ISCAS), 2010, pp. 3413–3416
    [20] C. Zhao, W. Zhang, C.Z. Zhao, K.L. Man, T.T. Jeong, J.K. Seon, and Y. Lee, “Standard cell
    library establishment and simulation for scan d flip-flops based on 0.5 micron cmos mixed-
    signal process,”International SoC Design Conference (ISOCC), 2011, pp. 306–309.
    71[21] S. Raghvendra and P. Hurat, “Dfm: linking design and manufacturing,”18th International
    Conference on VLSI Design, 2005, pp.705–708.
    [22] P. Gupta and A. Kahng, “Manufacturing-aware physical design,”Proc. IEEE/ACM Intl.
    Conference on Computer-Aided Design, 2003, pp. 681–687.
    [23] D. Pan and M.Wong, “Manufacturability-aware physical layout optimizations,”ICICDT,
    International Conference on Integrated Circuit Design and Technology, 2005, pp. 149–153.
    [24] H. Muta and H. Onodera, “Manufacturability-aware design of standard cells,”IEICE
    TRANSACTIONS on Fundamentals of Electronics, Communications and Computer Sciences,
    vol.E90-A No.12, pp. 2682–2690, 2007.
    [25] C. Menezes, C. Meinhardt, R. Reis, and R. Tavares, “Design of regular layouts to improve
    predictability,”in proceeding of the 6th International Caribbean Conference on Devices,
    Circuit and Systems, 2006, pp. 67-72.
    [26] T. Iizuka, M. Ikeda, and K. Asada, “Timing-driven redundant contact insertion for standard
    cell yield enhancement,”ICECS ’06. 13th IEEE International Conference on Electronics,
    Circuits and Systems, 2006, pp. 704–707.
    [27] T.C. Kan, S.H. Yang, T.F. Chang, and S.J. Ruan, “Design of a practical nanometer-scale
    redundant via-aware standard cell library for improved redundant via1 insertion rate,”IEEE
    Trans. on Very Large Scale Integration (VLSI) Systems, pp. 142–147, 2013.
    [28] T.Y. Lin, T.H. Lin, H.H. Tung, and R.B. Lin, “Double-via-driven standard cell library
    design,”DATE ’07 Europe Conference Exhibition, 2007, pp. 1–6.
    [29] C. Saint and J. Saint, IC Mask Design-Essential Layout Techniques., New York:McGraw
    Hill, 2002.
    [30] D. Clein, CMOS IC Layout Concepts, Methodologies and Tools., Butterworth-Heinemann,
    2000.
    [31] UMC, Hsinchu, Taiwan, L55 SP UM055LSCSPMVBBR Logic and Mixed-Mode Standard
    Performance Cell Library, 2010.
    [32] G. Allan, “Targeted layout modifications for semiconductor yield/reliability enhancement,”
    IEEE Transactions on Semiconductor Manufacturing, vol. 17, no. 4, pp. 573–581, 2004.
    72[33] T.C. Kan, W.L. Chen, and M.C. Liu, “Integrated circuit structure and a design method
    thereof,”US Patent 7 281 231, 2007.
    [34] UMC, Hsinchu, Taiwan, L65 SP UM055LSCSPMVBBR Logic and Mixed-Mode Standard
    Performance Cell Library, 2010.
    [35] H. Sunagawa, H. Terada, A. Tsuchiya, K. Kobayashi, and H. Onodera,“Effect of regularity-
    enhanced layout on variability and circuit performance of standard cells,”vol. 3, 2010, pp.
    130–139.
    [36] H. Heineken, J. Khare, and M. d’Abreu, “Manufacturability analysis of standard cell
    libraries,”in Proceedings of the IEEE, 1998, pp. 321–324.
    [37] R. Aitken, “Dfm metrics for standard cells,”in IEEE International Symposium on Quality
    Electronic Design (ISQED), 2006, pp. 490–496.
    [38] T.C. Kan, S.H. Yang, T.F. Chang, and S.J. Ruan, “Nanometer-scale Standard Cell Library
    for Enhanced Redundant Via1 Insertion Rate,”IEEE/ACM Great Lakes Symposium on VLSI
    (GLSVLSI), Lausanne, Switzerland, 2011, pp. 319–324.
    [39] T.C. Kan, H.M. Hong, Y.J. Chen, and S.J. Ruan, “Configurable Redundant Via-
    Aware Standard Cell Design Considering Multi-Via Mechanism,”Proceedings of the 14th
    International Symposium on Quality Electronic Design (ISQED), 2013, pp. 322–326.
    [40] Mentor Graphics, Calibre WORKbench User’s Manual, Version 2011.
    [41] Synopsys, Mountain View, California, USA, Zroute, IC Compiler, 2010.
    [42] T. Fujimaki, K. Higashi, N. Nakamura, N. Matsunaga, K. Yoshida, N. Miyawaki, M. Hatano,
    M. Hasunuma, J. Wada, T. Nishioka, K. Akiyama, H. Kawashima, Y. Enomoto, T. Hasegawa,
    K. Honda, M. Iwai, S. Yamada, and F. Matsuoka, “Mechanism of moisture uptake induced
    via failure and its impact on 45nm node interconnect design,”in Electron Devices Meeting,
    2005
    [43] C. Guardiani, N. Dragone, and P. McNamara, “Proactive design for manufacturing (dfm)
    for nanometer soc designs,”in Proceedings of the IEEE, 2004, pp. 309–316.
    [44] UMC, Hsinchu, Taiwan, L55 Logic and Mixed-Mode Standard Performance Topology
    Layout Rule, 2010.
    [45] UMC, Hsinchu, Taiwan, L65 Logic and Mixed-Mode Standard Performance Model, 2010.

    QR CODE