簡易檢索 / 詳目顯示

研究生: 劉智嘉
Chih-Chia Liu
論文名稱: 使用位址重映射技術以提升電阻式記憶體的良率及可靠度
Address Remapping Techniques for Yield and Reliability Enhancement of RRAM
指導教授: 呂學坤
Shyue-Kung Lu
口試委員: 呂學坤
Shyue-Kung Lu
李進福
Jin-Fu Li
許鈞瓏
Chun-Lung Hsu
王乃堅
Nai-Jian Wang
洪進華
Jin-Hua Hong
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2021
畢業學年度: 109
語文別: 中文
論文頁數: 91
中文關鍵詞: 電阻式記憶體故障遮蔽位址重映射技術良率可靠度
外文關鍵詞: RRAM, Fault Masking, Address Remapping Technique, Yield, Reliability
相關次數: 點閱:337下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

科技的進步帶動了製程技術的演進,使得行動裝置與高密度的記憶體得以快速發展,因此人們對於非揮發型記憶體的需求也隨之成長,而在眾多的非揮發型記憶體當中,電阻式記憶體具有較快的操作速度、較低的功耗以及更高的密度,然而,電阻式記憶體的製程技術尚未成熟,加上憶阻器本身固有的特性,使得電阻式記憶體面臨良率與可靠度方面的問題。此外,記憶體製程的微縮造成內部線路電阻的上升,使記憶體在正常操作時可能會因為線路電阻產生的壓降導致其操作失敗,此現象會隨著記憶體陣列越做越大而變得更加嚴重。為了維持記憶體的良率與可靠度,過去所提出的研究大多是利用錯誤更正碼與內建自我修復技術來進行修復,但額外增加的硬體成本卻成為了一大負擔。
有鑑於此現象,本篇論文首先針對電阻式記憶體的故障模型進行分析,利用 March W-1T1R 測試演算法與本篇論文所提出的故障字典,將故障重新分類為存 0 與存 1 安全故障,同時結合位址重映射技術來提升故障遮蔽的機率。舉例來說,一個固定於邏輯 0 的故障,在資料存取時只要寫入邏輯 0 即可避免故障被激發,因此在資料進行寫入前,利用位址重映射技術改變資料邏輯與實體位址間的對應關係,讓安全的邏輯值寫入故障之記憶體細胞中,即可有效的減少故障發生的數量。
本篇論文實現了位址重映射技術的電路,並利用 Python Tkinter 模組開發了模擬器,實現位址重映射演算法並以此評估記憶體的修復率與良率,同時推導出此技術的可靠度公式與額外增加的硬體成本。實驗結果顯示在 1 GB 電阻式記憶體中,當正交故障為 70% 時,位址重映射技術之修復率相較於 BCH3 碼提升了約 40%,而在原始良率為 0.85時,有效良率皆可維持在 99% 以上,可靠度於 70 萬小時後仍能維持在 90% 以上,額外增加的硬體成本則幾乎可以忽略。


Due to the advance of process technology, mobile devices and high density memories are being developed rapidly. Therefore, the demand on non-volatile memory (NVM) keeps increasing steadily. Among these emerging NVMs, the resistive RAM (RRAM) has the features of faster operation speed, lower power consumption and high density than other emerging NVMs. However, due to the immature fabrication technology and the intrinsic nature of memristors, it would cause yield and reliability problems. Besides, the shrinked memory feature size also induces side effects in terms of reliability and performance. Theses include an increase in the line resistances and a voltage drop along the line during memory operation. The voltage drop prevents memory cells from operating properly. These issues exacerbate at larger memory array sizes. To maintain the yield and reliability of RRAMs, error correction code (ECC) and built-in self-repair (BISR) techniques are widely used in the past. However, the incurred extra hardware overhead becomes a big burden.
To cure these drawbacks, we first analyze the fault behaviors of RRAMs and propose the fault dictionary for the March W-1T1R algorithm in this thesis. Based on the fault behaviors of RRAMs, we can reclassify the fault models into the 1-safe and the 0-safe fault types at the logic level. At the same time, we propose the address remapping techniques for enhancing the fault masking probability. By writing safe logic values into faulty cells, the fault effects can be effectively mitigated.
The corresponding hardware architecture of the proposed address remapping technique is also proposed in this paper. We use the Python Tkinter module to develop a simulator for implementing the address remapping algorithm to evaluate the repair rate and yield of the memory. We also derive models for evaluating reliability and hardware overhead. Experimental results show that for an 1-GB RRAM with 70% orthogonal faults of the injected faults, the repair rate can be raised by 40% as compared with the BCH3 code. When the original yield is 0.85, the effective yield can be raised to about 99%. Moreover, the reliability can be above 90% after 700,000 hours of operations. The hardware overhead for implementing the address remapping technique is almost negligible.

致謝 I 摘要 II Abstract III 目錄 V 圖目錄 IX 表目錄 XIII 第一章 簡介 1 1.1 背景及動機 1 1.2 組織架構 5 第二章 電阻式記憶體的基本工作原理與應用 6 2.1 電阻式記憶體的基本原理 6 2.2 電阻式記憶體的存取機制 7 2.2.1 寫入操作 8 2.2.2 讀取操作 8 2.2.3 成型操作 9 2.3 電阻式記憶體的陣列架構 9 2.3.1 1T1R 架構 10 2.3.2 Cross-Point 架構 10 2.4 電阻式記憶體的應用 11 2.4.1 高資料儲存系統 11 2.4.2 記憶體內運算 11 2.4.3 神經網路應用 12 第三章 電阻式記憶體的測試與修復技術 14 3.1 功能性故障模型 14 3.1.1 常見記憶體的通用故障模型 14 3.1.2 電阻式記憶體的特定故障模型 16 3.2 內建自我修復技術 19 3.2.1 測試演算法 19 3.2.2 內建自我測試技術 21 3.2.3 內建備用資源分析 23 3.3 錯誤更正碼 24 3.3.1 漢明碼 24 3.3.2 BCH 碼 26 第四章 基於位址重映射之故障遮蔽技術 30 4.1 新型態電阻式記憶體故障分類 30 4.2 故障遮蔽技術之基本概念 32 4.3 位址重映射技術 33 4.3.1 位址重映射技術介紹 33 4.3.2 位址重映射演算法 36 4.3.3 位址重映射技術之操作流程 39 4.3.4 位址重映射技術之範例 41 4.4 位址重映射技術之測試與修復流程 45 4.5 位址重映射技術之硬體架構 46 4.5.1 整體硬體架構 46 4.5.2 故障資訊定址記憶體模組 48 4.5.3 位址重映射模組 49 第五章 實驗結果 50 5.1 瑕疵分布與故障模型之設定 50 5.2 修復率分析 52 5.3 良率分析 55 5.4 可靠度分析 58 5.5 硬體成本分析 62 5.6 超大型積體電路實現 68 第六章 結論與未來展望 70 6.1 結論 70 6.2 未來展望 70 參考文獻 71

[1] S. Aritome, “NAND flash memory technologies,” IEEE Press Series on Microelectronics System, Hoboken, NJ, USA: Wiley, pp. 17–36, Dec. 2015.
[2] Y. Li and K. N. Quader, “NAND flash memory: challenges and opportunities,” IEEE Computers, vol. 46, no. 8, pp. 23–29, Aug. 2013.
[3] F. Zahoor, T. Z. A. Zulkifli, and F. A. Khanday, ‘‘Resistive random accessn memory (RRAM): An overview of materials, switching mechanism, performance, multilevel cell (MLC) storage, modeling, and applications,’’ Nanosc. Res. Lett., vol. 15, no. 1, pp. 1–26, Dec. 2020.
[4] T. Karnik, P. Hazucha, and J. Patel, “Characterization of soft errors caused by single event upsets in CMOS processes,” IEEE Trans. Depend. Secure Computing, vol. 1, no. 2, pp. 128–143, April-June 2004.
[5] W. Kuo, W. T. K. Chien, and T. Kim, “Reliability, yield, and stress burn-in,” Kluwer Academic Publishers, 1998.
[6] C. Y. Chen, H. C. Shih, C. W. Wu, C. H. Lin, P. F. Chiu, S. S. Sheu, and F. T. Chen, “RRAM defect modeling and failure analysis based on march test and a novel squeeze-search scheme,” IEEE Trans. Computers, vol. 64, no. 1, pp. 180–190, Jan. 2015.
[7] R. W. Hamming, “Error detecting and error correcting codes,” Bell System Tech. J., vol. XXIX, no. 2, pp. 147–160, Apr. 1950.
[8] G. D. Forney, “On decoding BCH codes,” IEEE Trans. Information Theory, vol. 11, no. 4, pp. 549–557, Oct. 1965.
[9] S. Tanakamaru, Y. Yanagihara, and K. Takeuchi, “Error prediction LDPC and error recovery schemes for highly reliable solid-state drives (SSDs),” IEEE J. Solid-State Circuits, vol. 48, no. 11, pp. 2920–2933, Nov. 2013.
[10] S. K. Lu, C. J. Tsai, and M. Hashizume, “Enhanced built-in self-repair techniques for improving fabrication yield and reliability of embedded memories,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, no. 8, pp. 2726–2734, Aug. 2016.
[11] H. Lee, D. Han, S. Lee, and S. Kang, “Dynamic Built-In Redundancy Analysis for Memory Repair,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 27, no. 10, pp. 2365-2374, Oct. 2019.
[12] J. Liang, S. Yeh, S. S. Wong, and H.-S. P. Wong, “Effect of wordline/bitline scaling on the performance, energy consumption, and reliability of cross-point memory array,” ACM Journal on Emerging Technologies in Computing Systems, vol. 9, pp. 1–14, Feb. 2013.
[13] A. Levisse, B. Giraud, J. P. Noel, M. Moreau, and J. M. Portal, “High Density Emerging Resistive Memories: What are the Limits?,” in Proc. IEEE 8th Latin American Symposium on Circuits & Systems (LASCAS), pp. 1–4, Feb. 2017.
[14] Y. Zhang, D. Feng, J. Liu, W. Tong, B. Wu, and C. Fang, “A novel ReRAM-based main memory structure for optimizing access latency and reliability,” in Proc. 54th ACM/EDAC/IEEE Design Autom. Conf. (DAC), pp. 1–6, June 2017.
[15] S. K. Lu, S. C. Yu, C. L. Hsu, C. T. Sun, M. Hashizume, and H. Yotsuyanagi, ‘‘Fault-aware dependability enhancement techniques for flash memories,’’ IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 28, no. 3, pp. 634–645, Mar. 2020.
[16] Y. Luo, X. Cui, M. Luo, and Q. Lin, "A high fault coverage march test for 1T1R memristor array," in Proc. Int’l Conf. on Electron Devices and Solid-State Circuits (EDSSC), pp. 1-2, Oct. 2017.
[17] 李明道, 新式非揮發性記憶體之發展與挑戰, 奈米通訊, 21卷, no. 3, pp. 9–14, 國家奈米元件實驗室
[18] L. O. Chua, “Memristor-the missing circuit element,” IEEE Trans. Circuit Theory, vol. 18, no. 5, pp. 507–519, Sep. 1971.
[19] S. Yu and P. Y. Chen, “Emerging memory technologies: Recent trends and prospects,” IEEE Solid-State Circuits Magazine, vol. 8, no. 2, pp. 43–56, June 2016.
[20] E. I. Vatajelu, P. Prinetto, M. Taouil, and S. Hamdioui, “Challenges and Solutions in Emerging Memory Testing,” IEEE Trans. Emerging Topics in Computing, vol. PP, no. 99, pp. 1–1, Apr. 2017.
[21] J. C. Liu, C. W. Hsu, I. T. Wang, and T. H. Hou, “Categorization of multilevel-cell storage-class memory: An RRAM example,” IEEE Trans. Electron Devices, vol. 62, no. 8, pp. 2510–2516, Aug. 2015.
[22] W. Kim, S. Menzel, D. J. Wouters, R. Waser, and V. Rana, “3-bit multilevel switching by deep reset phenomenon in Pt/W/TaOX / Pt-ReRAM devices,” IEEE Electron Device Lett., vol. 37, no. 5, pp. 564–567, May 2016.
[23] S. Kim, J. Zhou, and W. D. Lu, “Crossbar RRAM arrays: Selector device requirements during write operation,” IEEE Trans. Electron Devices, vol. 61, no. 8, pp. 2820–2826, Aug. 2014.
[24] P. Y. Chen, and S. Yu, “Impact of vertical RRAM device characteristics on 3D cross-point array design,” in Proc, IEEE 6th Int’l Memory Workshop (IWM), pp. 127–130, May 2014.
[25] S. G. Park, M. K. Yang, H. Ju, D. J. Seong, J. M. Lee, E. Kim, S. Jung, L. Zhang, Y. C. Shin, I. G. Baek, J. Choi, H. K. Kang, and C. Chung, “A non-linear ReRAM cell with sub-1 μA ultralow operating current for high density vertical resistive memory (VRRAM),” in Proc. IEEE Int’l Electron Devices Meeting (IEDM), pp. 20.8.1–20.8.4, Dec. 2012.
[26] W. A. Wulf and S. A. McKee, “Hitting the memory wall: implications of the obvious,” ACM SIGARCH Computer Architecture News, vol. 23, no.1, pp. 20-24, Mar. 1995.
[27] M. Hu, J. P. Strachan, Z. Li, E. M. Grafals, N. Davila, C. Graves, S. Lam, N. Ge, R. S. Williams, and J. Yang, “Dot-product engine for neuromorphic computing: Programming 1T1M crossbar to accelerate matrix-vector multiplication,” in Proc. 53th ACM/EDAC/IEEE Design Autom. Conf. (DAC), pp. 1–6, June 2016.
[28] F. Zhang and M. Hu, “Defects mitigation in resistive crossbars for analog vector matrix multiplication,” 25th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 187–192, Jan. 2020.
[29] J. Y. Hu, K. W. Hou, C. Y. Lo, Y. F. Chou and C. W. Wu, “RRAM-based neuromorphic hardware reliability improvement by self-healing and error correction,” in Proc. IEEE Int’l Test Conf. in Asia (ITC-Asia), pp. 19–24, Sep. 2018.
[30] W. Li, Y. Wang, H. Li, and X. Li, “RRAMedy: Protecting ReRAM-based neural network from permanent and soft faults during its lifetime,” in Proc. IEEE 37th Int’l Conf. on Computer Design (ICCD), pp. 91-99, Nov. 2019.
[31] B. Zhang, N. Uysal, D. Fan, and R. Ewetz, “Handling stuck-at-fault defects using matrix transformation for robust inference of DNNs,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 39, no. 10, pp. 2448–2460, Oct. 2020.
[32] R. Dekker, F. Beenker, and L. Thijssen, “Fault modeling and test algorithm development for static random-access memories,” in Proc. IEEE Int’l Test Conf., pp. 343–352, Sep. 1988.
[33] Y. X. Chen and J. F. Li, “Fault modeling and testing of 1T1R memristor memories,” in Proc. IEEE 33rd VLSI Test Symp. (VTS), pp. 1–6, Apr. 2015.
[34] K. Sachhidh, J. Rajendran, R. Karri, and O. Sinanoglu, “Sneak path testing of metal-oxide memristor-based memories,” in Proc. 26th Int’l Conf. VLSI Design, pp. 386–391, Jan. 2013.
[35] C. T. Huang, J. R. Huang, C. F. Wu, C. W. Wu, and T. Y. Chang, “A programmable BIST core for embedded DRAM,” IEEE Design & Test of Computers, vol. 16, no. 1, pp. 59-70, Jan. 1999.
[36] A. J. V. D. Goor, “Using march tests to test SRAMs,” IEEE Design & Test of Computers, vol. 10, no. 1, pp. 8-14, Mar. 1993.
[37] R. Nair, S. M. Thatte, and J. A. Abraham, “Efficient algorithms for testing semiconductor random-access memories,” IEEE Trans. Computers, vol. C-27, no. 6, pp. 572-576, June 1978.
[38] P. Liu, Z. You, J. Kuang, Z. Hu, H. Duan, and W. Wang, “Efficient march test algorithm for 1T1R cross-bar with complete fault coverage,” Electronics Letters, vol. 52, no. 18, pp. 1520–1522, Sep. 2016.
[39] C. Wu, L. Wang, and X. Wen, VLSI Test Principles and Architectures: Design for Testability, San Mateo, CA, USA: Morgan Kaufmann, 2006.
[40] S. Y. Kuo and W. K. Fuchs, “Efficient spare allocation in reconfigurable arrays,” IEEE Design and Test of Computers, vol. 4, no. 1, pp. 24-31, June 1987.
[41] C. T. Huang, C. F. Wu, J. F. Li, and C. W. Wu, “Built-in redundancy analysis for memory yield improvement,” IEEE Trans. Reliability, vol. 52, no. 4, pp. 386-399, Dec. 2003.
[42] S. K. Lu, C. L. Yang, Y. C. Hsiao, and C. W. Wu, “Efficient BISR techniques for embedded memories considering cluster faults,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 2, pp. 184-193, Feb. 2010.
[43] Yathiraj H. U., Mahasiddayya R. Hiremath, “Implementation of BCH Code (n, k) encoder and decoder for multiple error correction control”, Int’l Journal of Computer Science and Mobile Applications, vol. 2, no. 5, pp. 45-54, May 2014.
[44] S. Lin and D. J. Costello, Error control coding, 2nd ed., Englewood Cliffs, NJ: Pearson Prentice Hall, 2014.
[45] X. Youzhi, “Implementation of Berlekamp-Massey algorithm without inversion,” in Proc. IEEE Proc. Communications, Speech and Vision, vol. 138, no. 3, pp. 138-140, June 1991.
[46] Y. Chen and K. Parhi, “Small area parallel Chien search architectures for long BCH codes,” IEEE Trans. VLSI , vol. 12, no. 5, pp. 545-549, May 2004.
[47] K. Pagiamtzis and A. Sheikholeslami, “Content-addressable memory (CAM) circuits and architectures: A tutorial and survey,” IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 712–727, Mar. 2006.
[48] R. F. Huang, J. F. Li, J. C. Yeh, and C. W. Wu, “A simulator for evaluating redundancy analysis algorithms of repairable embedded memories,” in Proc. IEEE Int’l Workshop Mem. Technol., Des. Testing (MTDT), pp. 68–73, July 2002.
[49] I. Koren and Z. Koren, “Defect tolerant VLSI circuits: techniques and yield analysis,” in Proc. IEEE, vol. 86, no. 9, pp. 1819–1838, Sep. 1998.
[50] H. C. Hsieh, “Adaptive fault-tolerance techniques for enhancing yield and reliability of RRAMs (Unpublished master’s thesis),” National Taiwan University of Science and Technology (NTUST), 2019.
[51] Y. BABACAN, “Memristor: Three MOS transistors and one capacitor,” in Proc. INES, pp. 1–7, Dec. 2017.
[52] J. M. Rabaey, A.Chandrakasan, and B. Nikolic “Digital integrated circuits,” Pearson Education Taiwan Ltd.

QR CODE