簡易檢索 / 詳目顯示

研究生: 林泓均
Hung-Chun Lin
論文名稱: 考慮最小佈植區域之細部擺置和閥值電壓指派以優化漏電功耗
MIA-aware Detailed Placement and VT Reassignment for Leakage Power Optimization
指導教授: 方劭云
Shao-Yun Fang
口試委員: 劉一宇
Yi-Yu Liu
呂學坤
Shyue-Kung Lu
陳勇志
Yung-Chih Chen
學位類別: 碩士
Master
系所名稱: 電資學院 - 電機工程系
Department of Electrical Engineering
論文出版年: 2021
畢業學年度: 109
語文別: 英文
論文頁數: 44
中文關鍵詞: 複合閥值電壓最小佈值區域詳細佈局漏電功耗最佳 化
外文關鍵詞: Multiple Threshold Voltages, Minimum-Implant-Area (MIA), Detailed Placement, Leakage Power Optimization
相關次數: 點閱:182下載:2
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報

隨著製程單位的下降,漏電功耗(Leakage power)已經在設計中成為一項重要的目標值,運用多個閥值電壓(Threshold Voltage)在以單位元件為基礎的設計中已經是一種流行的技術,這可以同時的優化電路時序和最小化洩電功耗。然而,在一個使用多個閥值電壓的任意佈局結果中,可能會面臨許多最小佈值區域(MIA)規則下的錯誤,因此最小佈值區域的規則是必須在詳細佈局的階段中被考慮的。目前已經存在一些研究是關於最小佈值區域規則下的詳細佈局,然而這些研究大多面臨著巨大的漏電功耗和單位元件的總位移量。我們在這篇研究中,我們會提出一重新分配閥值電壓和詳細佈局的架構,在時序限制下考慮漏電功耗和最小佈值區域規則。我們首先應用以動態規畫為基礎的演算法來重新分配閥值電壓,同時最小化漏電功耗,最小佈值區域規則下所需要預留的空間以及時序錯誤。接著在合法化(Legalization)的階段會透過單位元件的位移以及填入填充物來解決所有的最小佈值區域錯誤。實驗結果是建立於ASAP7為單位元件庫和Opencores。根據和目前研究相比的實驗結果,本篇提出的架構可以有效且有效率的降低漏電功耗和單位元件的總位移量


As the feature size decreases, leakage power consumption becomes an important target in the design, using multiple threshold voltages (VTs) in cell-based designs is a popular technique to simultaneously optimize circuit timing and minimize leakage power. However, an arbitrary cell placement result of a multi-VT design may suffer from many design rule violations induced by the Minimum-Implant-Area (MIA) rule, and thus it is necessary to take the MIA rules into consideration during the detailed placement stage. There have been some existing studies on MIA-aware detailed placement, while these works may either suffer from great leakage power overhead or large cell displacement. In this thesis, we propose a VT reassignment and detailed placement framework to consider MIA rules and leakage power minimization under timing constraints. We first apply a dynamic programming-based algorithm for VT reassignment to simultaneously minimize leakage power, the required spacings for MIA rules, and timing violations. Then, we resolve the intra- and inter-row MIA violations by cell shifting and filler insertion in the legalization stage. Experiments are conducted based on the ASAP7 cell library and Opencores. According to the experimental results compared with existing works, the proposed framework can effectively and efficiently minimize leakage power and cell displacement.

Abstract vi List of Tables xii List of Figures xiii Chapter 1. Introduction 1 1.1 Minimum Implant Area (MIA) Constraints . . . . . . . . . . . . . . . . . 2 1.2 Previous Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 1.3 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 1.4 Contribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 1.5 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . .8 Chapter 2. Preliminaries 9 2.1 Required Information . . . . . . . . . . . . . . . . . . . . . . . . . . 9 2.2 Timing Initialization . . . . . . . . . . . . . . . . . . . . . . . . . .11 2.2.1 Fanin and fanout cones . . . . . . . . . . . . . . . . . . . . . . . . 11 2.2.2 Sorting of FI and FO . . . . . . . . . . . . . . . . . . . . . . . . . 12 2.2.3 Get new delay from cell library . . . . . . . . . . . . . . . . . . . .13 2.3 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . .14 Chapter 3. Optimization Framework 16 3.1 Overall Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 3.2 VT Reassignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 3.2.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . 18 3.2.2 Critical Idea . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19 3.2.3 Spacing Computation . . . . . . . . . . . . . . . . . . . . . . . . . .21 3.2.4 DP Recurrence . . . . . . . . . . . . . . . . . . . . . . . . . . . . .22 3.3 VT Re nement for Timing Fixup . . . . . . . . . . . . . . . . . . . . . . 26 3.3.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . .26 3.3.2 Greedy VT Re nement Method . . . . . . . . . . . . . . . . . . . . . . .28 3.4 Legalization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 3.4.1 Problem formulation . . . . . . . . . . . . . . . . . . . . . . . . . .29 3.4.2 Filler Addition and Displacement Computation . . . . . . . . . . . . . 29 3.4.3 Graph Construction . . . . . . . . . . . . . . . . . . . . . . . . . . 31 3.4.4 Shortest Path Algorithm . . . . . . . . . . . . . . . . . . . . . . . .33 Chapter 4. Experimental Results 36 4.1 Environment and Benchmarks . . . . . . . . . . . . . . . . . . . . . . . 36 4.2 Experiment Results . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 Chapter 5. Conclusion 42 Bibliography 43

[1] IBM ILOG CPLEX Optimizer. http://www-01.ibm.com/software/integration/optimization/cplex-optimizer/

[2] A. B. Kahng and H. Lee. "Minimum Implant Area-Aware Gate Sizing and Placement." In Proceedings of the Great Lakes Symposium on VLSI, pages 57-62, 2014

[3] W.-K. Mak, W.-S. Kuo, S.-H. Zhang, S.-I. Lei, and C. Chu. "Minimum implant area-aware placement and threshold voltage refinement." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36(7):192-197, January 2017.

[4] K.-H. Tseng, Y.-W. Chang, and C.-C. Liu. "Minimum-implant-area-aware detailed placement with spacing constraints." In Proceedings of ACM/IEEE Design Automation Conference, pages 84:1-84:6, 2016.

[5] Y.-Y.Wu and Y.-W. Chang. "Mixed-cell-height detailed placement considering complex minimum-implant-area constraints." In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, 2017.

[6] J. Chen, P. Yang, X. Li, W. Zhu, Y.-W. Chang. "Mixed-cell-height placement with complex minimum-implant-area constraints." In ICCAD, 2018.

[7] W. Lee, Y. Kwon, Y. Shin. "Fast ECO Leakage Optimization Using Graph Convolutional." In Proceedings of the Great Lakes Symposium on VLSI 2020 (GLSVLSI '20), September 8-11, 2020.

[8] L.T. Clark, V. Vashishtha, D.M. Harris, S. Dietrich, Z Wang. "Design flows
and collateral for the ASAP7 7nm FinFET predictive process design kit" In Proceedings of 2017 IEEE International Conference on Microelectronic Systems Education (MSE), 12 June 2017.

[9] Opencores benchmarks. [Online]. Available: http://www.opencores.org.

[10] Library of Efficient Data types and Algorithms. http://www.algorithmicsolutions.com/leda/

[11] Synopsys Design Compiler. https://www.synopsys.com/

[12] Cadence Innovus Implementation System. https://www.cadence.com/zh TW
/home/tools/digital-design-and-signo /soc-implementation-and-floorplanning
/innovus-implementation-system.html

QR CODE