簡易檢索 / 詳目顯示

研究生: 黃慕凱
Mu-Kai Huang
論文名稱: 多核心處理器之群組式動態電源管理機制
Task Grouping for Efficient Power Management in Multi-core Processors
指導教授: 陳維美
Wei-Mei Chen
口試委員: 阮聖彰
Sheng-Jhang Ruan
林淵翔
Yuan-Siang Lin
林昌鴻
Chang-Hong Lin
吳晉賢
Chin-Hsien Wu
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2011
畢業學年度: 99
語文別: 中文
論文頁數: 57
中文關鍵詞: 綠色運算動態電壓頻率調整優先權排班機制執行緒行為作業系統核心
外文關鍵詞: Green computing, dynamic voltage and frequency scaling (DVFS), program behavior, priority-based scheduling and Linux kernel
相關次數: 點閱:245下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本論文探討多核心系統中執行多執行緒程式之電源消耗與省電議題,並提出一高效率之動態電源管理機制動態調整處理器頻率以節省處理器電源消耗。過去的研究以單一執行緒為單位進行頻率調整,然而在大量的工作中(多執行緒系統),過去機制將導致大量的處理器暫停,並降低系統效能。本論文針對多執行緒系統,將執行緒分群,並以群組為單位進行頻率調節以減少處理器負擔 (Overhead)。

    本機制主要包含三部份:
    壹、利用處理器中效能計數器監視並預測程式行為,並判斷適合各程式之處理器頻率,使該程式在較低的頻率中執行並不失其執行效能。
    貳、將各處理器所要執行的執行緒分群,並以一工作平衡演算法將相同行為之程式移至同一群組,並平衡各處理器之工作量。
    參、分析每一群組,調整一適合該群組之處理器頻率。

    在此研究中,我們分析諸多程式之執行行為,並提出一數學模型以辦別執行緒行為,以此模型探討執行頻率與執行緒行為間之交互關係,並進一步定義不同行為之適合執行頻率。我們將此電源管理機制實現於Linux 2.6 作業系統中,並測試具公信標準之測試程式。根據實驗結果,此機制平均僅造成4.8%之效能降低的影響下,可節省近20%電源消耗。


    In the green computing field, Dynamic voltage and frequency scaling (DVFS) is widely used to adjust the operating frequency of processor to reduce energy consumption. However, relatively fewer studies have addressed the issue of overhead introduced by the DVFS. Current technology employing DVFS renders processor cores unavailable for 10s to 650 s, and adjusting the frequency of each thread often incurs considerable overhead, particularly in multi-threaded operations.

    This study addresses the problem of energy consumption and DVFS induced overhead by proposing a low overhead, Grouping-Based dynamic Power Management (GDPM) system. This system provides strategies for determining the appropriate processor frequency, such that energy consumption is reduced with only a negligible degradation in performance. To decrease the overhead of DVFS, threads requiring the same frequency are then clustered into a group, and processor frequency is adjusted for each group. The proposed approach was implemented on a quad-core processor running a Linux operating system. Comparisons using industry standard benchmarks show that the proposed approach is capable of improving energy consumption by an average of 20%, with only a 4.8% penalty in performance.

    中文摘要----------------------------------------------------- I 英文摘要----------------------------------------------------- II 圖索引----------------------------------------------------- IV 表索引----------------------------------------------------- V 第一章 緒論--------------------------------------------------- 1 第二章 相關研究----------------------------------------------- 5 第三章 相關研究行為與頻率對應模------------------------------ 8 3.1 行為模型-------------------------------------------- 8 3.2 頻率對應模型---------------------------------------- 10 第四章 群組式DVFS電源管理機制--------------------------------- 15 4.1 行為預測------------------------------------------ 15 4.2 Phase考量之工作遷移-------------------------------- 18 4.3 群組單位DVFS控制---------------------------------- 25 第五章 建構與實現--------------------------------------------- 27 5.1 執行緒行為監測------------------------------------ 27 5.2 Linux 2.6排班機制---------------------------------- 29 5.3 DVFS及能耗量測------------------------------------- 31 第六章 實驗結果與討論----------------------------------------- 33 6.1 預測器效能比較------------------------------------ 33 6.2 Context Switch切換時間----------------------------- 35 6.3 SPEC CPU2006測試比較------------------------------- 36 6.4 Phoronix Test Suite測試比較------------------------- 40 6.5 可容許之效能損失之比較---------------------------- 43 6.6 遷移驅動方式之比較-------------------------------- 45 第七章 結論--------------------------------------------------- 47 參考文獻------------------------------------------------------- 48

    [1] G. Contreras and M. Martonosi, Power Prediction for Intel XScaler Processors Using Performance Monitoring Unit Events, Internal symposium of Low Power Electronics and Design, 2005. pp 221-226.

    [2] K. Choi, R. Soma and M. Pedram, Fine-grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Tradeoff Based on the Ratio of Off-chip Access to On-chip Computation Times, Transactions on IEEE Computer-Aided Design of Integrated Circuits and Systems, Volume 24, Issue 1, 2004. pp 18-28.

    [3] A. S. Dhodapkar and J. E. Smith, Managing multi-configurable hardware via dynamic working set analysis, In 29th Annual International Symposium on Computer Architecture, 2002. pp 233-244.

    [4] E. Duesterwald, C. Cascaval, and S. Dwarkadas, Characterizing and Predicting Program Behavior and its Variability, Proceedings. 12th International Conference on Parallel Architectures and Compilation Techniques, 2003. pp 220-231.

    [5] R. Ge, X. Feng, S. Song, H. C. Chang, D. Li and K. W. Cameron, PowerPack: Energy Profiling and Analysis of High-Performance Systems and Applications, Transactions on IEEE Parallel and Distributed Systems, Volume 21, Issue 5, 2010. pp 658-671.

    [6] S. Ghiasi, T. Keller and F. Rawson, Scheduling for Heterogeneous Processors in Server Systems, Proceedings of the 2nd conference on Computing frontiers, 2005, pp 199-210.

    [7] C. Gniady, A. R. Butt, Y. C. Hu and Y. H. Lu, Program Counter- Based Prediction Techniques for Dynamic Power Management, IEEE Transactions on Computers, Volume 55, Issue 6, 2006, pp 641-658.

    [8] J. L. Henning, SPEC CPU2006 benchmark descriptions, ACM SIGARCH Computer Architecture News Volume 34 Issue 4, September 2006. pp 1-17.

    [9] C. Hu, D. Jimmenez and U. Kremer, Toward an Evaluation Infrastructure for Power and Energy Optimizations, In Workshop on High- Performances, Power-Aware Computing, 2005. pp 230-238.

    [10] M. K. Huang, J. M. Chang and W. M. Chen, Grouping-Based Dynamic Power Management for Multi-threaded Programs in Chip- Multiprocessors, The proceeding 7th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing, 2009. pp 56-63.

    [11] Intel Corporation, Enhanced Intelr SpeedStepr Technology for the Intelr Pentiumr M Processor, http://www.intel.com/design/intarch/papers/301174.htm, March 2004.

    [12] Intel Corporation, Intelr 64 and IA-32 Architectures Software Developers Manual Volume 3A: System Programming Guide, Part 1, http://www.intel.com/products/processor/manuals/, November 2008.

    [13] Intel Corporation, Intelr 64 and IA-32 Architectures Software Developers Manual Volume 3B: System Programming Guide, Part 2, http://www.intel.com/products/processor/manuals/, November 2008.

    [14] C. Isci, A. Buyuktosunogly, C. Y. Cher, P. Bose and M. Martonosi, An Analysis of Efficient Multi-Core Global Power Management Policies : Maximizing Performance for a Given Power Budget, The proceeding 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006. pp 347-358.

    [15] C. Isci, G. Contreras and M. Martonosi, Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management, The proceeding 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006. pp 359-370.

    [16] C. Isci, M. Martonosi, and A. Buyuktosunoglu, Long-term Workload Phases: Duration Predictions and Applications to DVFS, IEEE Micro: Special Issue on Energy Efficient Design 25(5), September/October 2005. pp 39-51.

    [17] A. Iyer and D. Marculescu, Power Aware Microarchitecture Resource Scaling, In Proceedings of Design Automation and Test in Europe, March 2001. pp 190-196.

    [18] W. Y. Kim, M. S. Gupta, G. Y. Wei and D. Brooks, System Level Analysis of Fast, Per-Core DVFS using On-Chip Switching Regulators, High Performance Computer Architecture, 2008. pp 123-134.

    [19] R. Kotla, A. Devgan, S. Ghiasi, Characterizing the Impact of Different Memory-Intensity Levels, International Workshop on Workload Characterization, 2004, pp 3-10.

    [20] R. Kotla, S. Ghiasi, T. Keller and F. Rawson, Scheduling Processor Voltage and Frequency in Server and Cluster Systems, Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium, April 2005, pp 234-241.

    [21] H. Kweon, Y. Do, J. Lee and B. Ahn, An efficient Power-Aware Scheduling Algorithm in Real Time System, Pacific Rim Conference on Communications, Computers and Signal Processing, 2007. pp 350-353.

    [22] R. Love, Linux kernel development 2nd ed., Indianapolis, Ind.:Novell Press, 2005.

    [23] L. Niu and G. Quan, System Wide Dynamic Power Management for Weakly Hard Real-Time Systems, Journal of Low Power Electronics, Volume 2, Number 3, December 2006. pp 342-355.

    [24] H. Patil, R. Cohn, M. Charney, R. Kapoor, A. Sun, and A. Karunanidhi, Pinpointing Representative Portions of Large Intel Itanium Programs with Dynamic Instrumentation, Proceedings of the 37th International symposium on Microarchitecture, 2004. pp 81- 92.

    [25] X. Shen, Y. Zhong, and C. Ding, Locality Phase Prediction, The Eleventh International Conference on Architectural Support for Programming Languages and Operating Systems, October 2004. pp 165-176.

    [26] T. Sherwood, E. Perelman, and B. Calder, Basic Block Distribution Analysis to Find Periodic Behavior and Simulation Points in Applications, In International Conference on Parallel Architectures and Compilation Techniques, September 2001. pp 3-14.

    [27] T. Sherwood, E. Perelman, G. Hamerly and B. Calder, Automatically Characterizing Large Scale Program Behavior, In Tenth International Conference on Architectural Support for Programming Languages and Operation Systems, October 2002. pp 45-57.

    [28] T. Sherwood, S. Sair, and B. Calder, Phase tracking and prediction, In Proceedings of the 28th International Symposium on Computer Architecture, June 2003. pp 336-349.

    [29] T. Sondag, V. Krishnamurthy and H. Rajan, Predictive Threadto- Core Assignment on a Heterogeneous Multi-Core Processor, Proceedings of the 4th workshop on Programming languages and operating systems, October 2007.

    [30] R. Teodorescu and J. Torrellas, Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors, Proceedings of the 35th International Symposium on Computer Architecture, 2008, pp 363-374.

    [31] F. Xie, M. Martonosi and S. Malik, Efficient Behavior-driven Runtime Dynamic Voltage Scaling Policies, Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, September 2005. pp 19-21.

    [32] P. Zhou, V. Pandey, J. Sundaresan, A. Raghuraman, Y. Zhou, and S. Kumar, Dynamic Tracking of Page Miss Ratio Curve for Memory Management, In Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, 2004. pp 177-188.

    [33] D. Zhu, N. AbouGhazaleh, D. Mosse and R. Melhem, Power Aware Scheduling for AND/OR Graphs in Multi-Processor Real-Time Systems, Proceedings of the 2002 International Conference on Parallel Processing, 2002. pp 849-864.

    [34] D. Zhu and H. Aydin, Reliability-Aware Energy Management for Periodic Real-Time Tasks, IEEE Transactions on Computers, Volume 58, Issue 10, 2009. pp 1382-1397.

    [35] D. Zhu, R. Melhem and B. Childers, Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multi-Processor Real-Time Systems, Proceedings of the 22nd IEEE Real-Time Systems Symposium, 2001. pp 686-700.

    [36] Phoronix Media, Phoronix Test Suite Benchmark, http://www.phoronixtest-suite.com/.

    QR CODE