簡易檢索 / 詳目顯示

研究生: 洪誌廷
Chih-Ting Hung
論文名稱: 多頻帶注入鎖定除三除頻器與電容耦合除五/六注入鎖定除頻器之設計
Muti-Band of Wide Locking Range Divide-By-3 and Capacitive Cross-Coupled Divide-By-5/6 Injection-Locked Frequency Divider
指導教授: 張勝良
Sheng–Lyang Jang
徐敬文
Ching-Wen Hsue
莊敏宏
Miin-Horng Juang
口試委員: 徐世祥
Shih-Hsiang Hsu
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2017
畢業學年度: 105
語文別: 英文
論文頁數: 131
中文關鍵詞: 注入鎖定除三除頻器電容耦合除五注入鎖定除頻器電容耦合除六注入鎖定除頻器
外文關鍵詞: Divide-By-3, Capacitive Cross-Coupled Divide-By-5 Injection-Locked Frequency Divider, Capacitive Cross-Coupled Divide-By-6 Injection-Locked Frequency Divider
相關次數: 點閱:305下載:3
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本篇論文提出了四顆不同結構的注入鎖定除頻器,分別為三共振除三注入鎖定除頻器、電容耦合之除三注入鎖定除頻器、三注入除五鎖定除頻器以及寬除頻範圍除六注入鎖定除頻器。
    首先,我們探討一個使用台積電0.18微米製程,來呈現高注入射頻三共振除三注入鎖定除頻器。由量測得知,此除三電路有三個非重疊的頻帶,隨著注入功率的增加,高頻帶的除頻範圍也隨著變寬。當工作電壓操作在0.8伏特,注入訊號強度為 0 dBm時,可得注入鎖定頻帶為6.8 ~ 11.1 GHz,除頻比例為48.0446%。此晶片的核心功率消耗為7.064 mW,晶片面積為0.938 × 0.884 mm2。
    其次,本篇探討一個使用台積電0.18微米製程之電容交叉耦合除三注入鎖定除頻器,藉由調整MOSFET之閘極開關來取得最佳的除頻範圍與功耗達到電容交叉耦合特性。當工作電壓操作在1.05伏特,注入訊號強度為0 dBm時,可得注入鎖定頻帶為7.1 ~ 10.6 GHz,除頻比例為39.548%。此晶片的核心功率消耗為6.447 mW,晶片面積為0.985 × 0.749 mm2。
    第三,本篇探討一個使用台積電0.18微米製程,來呈現新型電容交叉耦合除五注入鎖定除頻器,它是利用三注入線性混波器架構之除頻器,輸入五倍頻的差動訊號會在輸出端產生一個四倍頻及二倍頻的訊號,已達到混波效果,當工作電壓操作在1伏特,注入訊號強度為 0 dBm時,可得注入鎖定頻帶為10.5 ~ 12.5 GHz,除頻比例為17.39%。此晶片的核心功率消耗為19.56 mW,晶片面積為1.139 × 1.0 mm2。
    最後,本篇探討一個使用台積電矽鍺0.18微米製程之寬除頻範圍之除六注入鎖定除頻器的頻率變化。此除頻器使用p-core除二注入鎖定除頻器疊接n-core除三電容交叉耦合式注入鎖定除頻器所構成,除二與除三除頻器皆被用做線性混波器。當工作電壓操作在1.6/1.2伏特,注入訊號強度為 0 dBm時,可得注入鎖定頻帶為11.2 ~ 14.2 GHz / 11.6 ~ 13.6 GHz,除頻比例為23.62% / 15.87%。此晶片的核心功率消耗為17.47 mW / 6.312 mW,晶片面積為0.988 × 1.0185 mm2。


    In this thesis, we propose four different kinds of injection-locked frequency dividers (ILFD): Divide-by-3 Injection-Locked Frequency Divider at High Injection Power, Capacitive Cross-Coupled Divide-by-3 Injection-Locked Frequency Divider, Divide-by-5 Injection-Locked Frequency Divider Using Triple-Injection Techniques, Wide-Locking Range LC Divide-by-6 Injection-Locked Frequency Divider, respectively.
    First, this thesis studies the high-injection RF property of divide-by-3 injection-locked frequency divider (ILFD) in the TSMC 0.18 μm 1P6M CMOS process. Measured data shows that the varactor-less divide-by-3 ILFD has three non-overlapped locking ranges, which is caused by the intrinsic triple-resonance resonator composed inductors and transformers and parasitic MOSFET capacitors. The high-band locking range drops as the injection power increases. At the supply voltage of 0.8 V, the divider’s free-running frequency is 3.52 GHz, and at the incident power of 0 dBm the locking range is about 4.3 GHz (48.0446%) from 6.8 to 11.1 GHz. The core power consumption is 7.064 mW. The die area is 0.938 × 0.884 mm2.
    Secondly, this thesis studies the device and circuit physics of a divide-by-3 injection-locked frequency divider (ILFD) based on capacitive cross-coupled resonator to explain the advantage of this circuit. This divide-by-3 ILFD was fabricated in the TSMC 0.18 μm CMOS process and all the active and passive circuit components were supplied by the foundry. The performance of capacitive cross-coupled ILFD is enhanced by optimally biasing the gate of switching transistor to trade the locking range and power consumption. The power consumption of the ILFD core is 6.447 mW and the locking range is from 7.1 to 10.6 GHz (39.548%) at injection power Pinj = 0 dBm. The die area is 0.985 × 0.749 mm2.
    Thirdly, a novel capacitive cross-coupled divide-by-5 injection-locked frequency divider (ILFD) is proposed and was implemented in the TSMC 0.18 μm 1P6M CMOS process. It uses triple-injection linear mixer approach. This ILFD combines one divide-by-5 ILFD with one divide-by-4 and one divide-by-2 ILFD, the divide-by-5 ILFD generate a 4th harmonic used as the input of divide-by-4 ILFD and a 2nd harmonic used as the input of divide-by-2 ILFD. At the drain-source bias VDD of 1V and at the incident power of 0dBm, the locking range of the divide-by-5 ILFD is 2 GHz, from the incident frequency 10.5 to 12.5 GHz, the percentage is 17.39%. The core power consumption is 19.56 mW. The die area is 1.139 × 1.0 mm2.
    Finally, this thesis proposes a wide locking range ÷6 ILFD designed in the TSMC 0.18 μm BiCMOS process. The proposed current-reused ILFD is based on a ÷2 p-core LC ILFD stacking on a ÷3 n-core capacitive cross-coupled LC ILFD. Injection MOSFETS in ÷2 and ÷3 ILFDs are used as linear mixers. At the drain-source bias VDD of 1.6/1.2V and at the incident power of 0 dBm, the locking range is 3/2 GHz (23.62/15.87%), from the incident frequency 11.2/11.6 GHz to 14.2/13.6 GHz. The core power consumption is 17.47/6.312 mW and the die area is 0.988 × 1.0185 mm2.

    中文摘要 Abstract 誌謝 Table of Contents List of Figures List of Tables Chapter 1 Introduction 1.1 Background 1.2 Thesis Organization Chapter 2 Overview of Voltage-Controlled Oscillators 2.1 Introduction 2.2 The Oscillators Theory 2.2.1 One-Port (Negative Resistance) View 2.2.2 Two-Port (Feedback) View 2.3 Design Concepts of Voltage-Controlled Oscillator 2.3.1 Parameters of a Voltage-Controlled Oscillator 2.3.2 Phase Noise 2.3.3 Quality Factor 2.4 Type of the LC Oscillator 2.4.1 Single Transistor Oscillator 2.4.2 One-Port Oscillator (Negative-Gm Oscillator) 2.4.3 Cross-Coupled Oscillator 2.4.4 Complementary Cross-Coupled Topology 2.5 Classification of Oscillators 2.5.1 Ring Oscillator 2.5.2 LC-Tank Oscillator 2.6 Research in RLC-Tank 2.6.1 Resistors 2.6.2 Inductor 2.6.3 Transformer 2.6.4 Capacitor 2.6.5 Varactors Chapter 3 Overview of Injection Locking Frequency Divider 3.1 Introduction 3.2 Principle of Injection Locked Frequency Divider 3.3 Locking Range Chapter 4 Varactor-less Triple-Resonance Divide-by-3 Injection-Locked Frequency Divider at High Injection Power 4.1 Introduction 4.2 Circuit Design 4.3 Measurement and Discussion Chapter 5 Capacitive Cross-Coupled Divide-by-3 Injection-Locked Frequency Divider 5.1 Introduction 5.2 Circuit Design 5.3 Measurement and Discussion Chapter 6 Divide-by-5 Injection-Locked Frequency Divider Using Triple-Injection Techniques 6.1 Introduction 6.2 Circuit Design 6.3 Measurement and Discussion Chapter 7 Wide-Locking Range LC Divide-by-6 Injection-Locked Frequency Divider 7.1 Introduction 7.2 Circuit Design 7.3 Measurement and Discussion Chapter 8 Conclusions References

    [1]B. Razavi, “RF Microelectronics”, Upper Saddle River, NJ: Prentice Hall, 1998.
    [2]S. Smith, Microelectronic Circuit 4th edition, Oxford University Press 1998.
    [3]J. Roggers, C. Plett, Radio frequency integrated circuit design, Artech House, 2003.
    [4]B. Razavi, Design of Analog CMOS Integrated Circuits, Mc Graw Hill, 2001.
    [5]B. Razavi, RF microelectronics, Prentice Hall PTR, 1998.
    [6]B. Razavi, “Design of Integrated Circuits for Optical Communications”, Mc Graw Hill.
    [7]S. J. Lee, B. Kim, K. Lee, “A Novel High-Speed Ring Oscillator for Multiphase Clock Generation Using Negative Skewed Delay Scheme”, IEEE Journal of Solid-State Circuits, vol. 32, No. 2, February 1997.
    [8]B. Razavi, “Design of Analog CMOS Integrated Circuit”, McGraw Hill, 2008.
    [9]G. Gonzalez , “Microwave Transistor Amplifiers Analysis And Design,” Prentice Hall, 1997.
    [10]劉隽宇, 翁若敏, “運用於IEEE 802.11a CMOS 頻率合成器的低雜訊寬調變範圍之壓控振盪器,” 2005.07.
    [11]De Muer, M. Borremans, M.Steyaert, and G. Li Puma, “A 2GHz low-phase-noise integrated LC-VCO set with flicker-noise upconversion minimization,” IEEE J. Solid-State Circuits, vol. 35, pp. 1034-1038, 2000.
    [12]S.Levantino, C. Samori, A. Bonfanti, S. L. J. Gierkink, A. L. Lacaita, and V. Boccuzzi, “Frequency dependence on bias current in 5GHz CMOS VCOs:impact on tuning range and flicker noise upconversion”, IEEE J. Solid-State Circuits, vol. 37, pp.1001-1003, 2002.
    [13]T. H. Lee, “The design of CMOS radio frequency integrated circuits”, Cambridge University Press, 1998.
    [14]H. M. Greenhouse, “Design of planar rectangular microelectronic inductors,” IEEE Transactions on Parts, Hybrids, and Packaging, vol. 10, pp. 101-109, Jun 1974.
    [15]C. P. Yue, C. Ryu, JackLau, T. H. Lee, and S. Wong, “A physical model for planar spiral inductors on silicon,” 1996 International Electron Devices Meeting Technical Digest, pp. 155-158, Dec. 1996.
    [16]J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, pp. 1368-1382, Sept. 2000.
    [17]A . Zolfaghari, A. Chan, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620-628, Apr. 2001.
    [18]T. Lee, and A. Hajimiri, “Oscillator phase noise: a tutorial,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 326-336, Mar. 2000.
    [19]P. Andreani, S. Mattisson, “On the use of MOS varactors in RF VCOs,” IEEE Journal of Solid-State Circuits, vol. 35, no. 6, pp. 905-910, June 2000.
    [20]J. Craninckx and M. S. J. Steyaert, “A 1.75-GHz/3-V dual-modulus divide-by-128/ 129 prescaler in 0.7 um CMOS,” IEEE J. Solid-State Circuits, vol. 31, pp. 890-897, July 1996.
    [21]Q. Huang and R. Rogenmoser, “Speed optimization of edge-triggered CMOS circuits for gigahertz single-phase clocks,” IEEE J. Solid-State Circuits, vol. 31, pp. 456-463, Mar. 1996.
    [22]J. Lee and B. Razavi, “A 40 GHz frequency divider in 0.18μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, pp. 594-601, Apr. 2004.
    [23]H. R. Rategh, and T.H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, pp. 813-821, June 1999.
    [24]H. D. Wohlmuth and D. Kehrer, “A high sensitivity static 2:1 frequency divider up to 27 GHz in 120 nm CMOS,” IEEE European Solid State Circuits Conference (ESSCIRC), pp. 823-826, Sept. 2002.
    [25]M. Tiebout, “A 480 uW 2 GHz ultra low power dual-modulus prescaler in 0.25 um standard CMOS,” IEEE International Symposium on Circuit and System (ISCAS), vol. 5, pp. 741-744, May 2000.
    [26]H. Wu, and A. Hajimiri, “A 19 GHz 0.5 mW 0.35 μm CMOS frequency divider with shunt-peaking locking-range enhancement,” IEEE ISSCC Dig. Tech. Papers, pp. 412-413, Feb. 2001.
    [27]R. J. Betancourt-Zamora, S. Verma, and T. H. Lee, “1 GHz and 2.8 GHz CMOS injection- locked ring oscillator prescalers,” IEEE Symposium on VLSI Circuits, pp. 47-50, June 2001.
    [28]P. Kinget, R. Melville, D. Long, and V. Gopinathan, “An injection locking scheme for precision quadrature generation,” IEEE J. Solid-State Circuits, vol. 37, pp. 845-851, July 2002.
    [29]J. Lee and B. Razavi, “A 40 GHz frequency divider in 0.18-μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, pp. 594-601, Apr. 2004.
    [30]H. Wu, “Signal generation and processing in high-frequency/high-speed silicon- based integrated circuits,” PhD thesis, California Institute of Technology, 2003.
    [31]R. Adler, “A study of locking phenomena in oscillators,” Proc. IEEE, vol. 61, pp.1380-1385, Oct. 1973.
    [32]S.-L. Jang, and C.-W. Chang, “A 90nm CMOS LC-tank divide-by-3 injection-locked frequency divider with record locking range,” IEEE Microw. Wireless Compon. Lett., vol. 20, pp. 229-231, April, 2010.
    [33]S.-L. Jang, Y.-S. Chen, C.-W. Chang, and C.-C. Liu, “A wide-locking range ÷3 injection-locked frequency divider using linear mixer,” IEEE Microw. Wireless Compon. Lett.,vol. 20, pp. 390-392, July, 2010.
    [34]H. Wu and L. Zhang, “A 16-to-18GHz 0.18μm epi-CMOS divide-by-3injection-locked frequency divider,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2006, pp. 27-29.
    [35]S.-L. Jang, C.-W. Chang, C.-F. Lee, and J.-F. Huang, “Divide-by-3 LC injection locked frequency divider implemented with 3D inductors,” IEICE Trans. Electron., vo1.E91-C, no.6, pp. 956-962,Jun. 2008.
    [36]S.-L. Jang,C.-Y. Lin, and C.-F. Lee, “A low voltage 0.35μm CMOS frequency divider with the body injection technique,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp.470-472, July 2008.
    [37]Y.-T. Chen, M.-W. Li, H.-C. Kuo, T.-H. Huang, and H.-R. Chuang, “Low-voltage K-band divide-by-3 injection-locked frequency divider with floating-source differential injector,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 160-167, 2012.
    [38]S.-L. Jang, T.-C. Kung and C.-W. Hsue, “Wide-locking range divide-by-3 injection-locked frequency divider through enhanced 2nd harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 7, pp. 537-539, 2016.
    [39]S.-L.Jang, C.-Y. Lin and M.-H. Juang, “Enhanced locking range technique for a divide-by-3 differential injection-locked frequency divider,” Electron. Lett., vol.51, 6, 19 March 2015, pp. 456-458.
    [40]S.-L.Jang, “Divide-by-3 injection-locked frequency dividers using dual-resonance resonator,” Analog Integr Circ Sig Process., Vol. 852, pp 335-341, Nov., 2015.
    [41]S.–L. Jang, W.-C. Cheng and C.-W. Hsue, “Wide-locking range divide-by-3 injection-locked frequency divider using 6th-Order RLC resonator,” IEEE Trans. Very Large Scale Integration Systems, VOL. 24, NO. 7, pp.2598-2602, 2016.
    [42]S.-L.Jang, Y.-J. Chen, C.-H. Fang and W. C. Lai, “Enhanced locking range technique for frequency divider using dual-resonance RLC resonator,” Electron. Lett., vol. 51, no. 23, p. 1888-1889, Nov., 2015.
    [43]S.-L. Jang, and C.-W. Chang, “A 90nm CMOS LC-tank divide-by-3 injection-locked frequency divider with record locking range,” IEEE Microw. Wireless Compon. Lett., vol. 20, pp.229-231, April, 2010.
    [44]Y.-T. Chen, M.-W. Li,H.-C. Kuo, T.-H. Huang, and H.-R. Chuang, “Low-voltage K-band divide-by-3 injection-locked frequency divider with floating-source differential injector,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 160-167, 2012.
    [45]K.-H. Chien, J. Y. Chen and H. K. Chiou, “Designs of K-band divide-by-2 and divide-by-3 injection-locked frequency divider with darlington topology,” IEEE Trans. Microw. Theory Tech., vol. 99, 2015.
    [46]S.-L.Jang, X.-Y. Hang, and W.–T. Liu, “Review: capacitive cross-coupled injection-locked frequency dividers,” Analog Integr Circ Sig Process, 88:97-104, 2016.
    [47]S.-L.Jang, and C.-Y. Lin, “A wide-locking range Class-C injection-locked frequency divider,” Electronics Letters ., vol. 50, 23, pp.1710-1712, 2014.
    [48]S.-L.Jang, Y.-J. Chen, C.-H. Fang and W. C. Lai, “Enhanced locking range technique for frequency divider using dual-resonance RLC resonator,” Electronics Letters ,vol. 51, no. 23, 05 Nov 2015, p. 1888-1889.
    [49]Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299-301, May 2006.
    [50]S.-L. Jang, T.-C. Kung and C.-W. Hsue, “Wide-locking range divide-by-3 injection-locked frequency divider through enhanced 2nd harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 7, pp. 537-539, 2016.
    [51]S.-L. Jang and C.-Y. Chuang, “Wide-locking range ÷3 series-tuned injection-locked frequency divider,” Analog Integr Circ Sig Process., vol. 76, Issue 1, pp. 111-116, 2013.
    [52]S.-L. Jang, and C.-W. Chang, “A 90 nm CMOS LC-tank divide-by-3 injection-locked frequency divider with record locking range,” IEEE Microw. Wireless Compon. Lett., vol. 20, pp.229-231, April, 2010.
    [53]S.-L. Jang, Y.-S. Chen, C.-W. Chang, and C.-C. Liu, “A wide-locking range ÷3 injection-locked frequency divider using linear mixer,” IEEE Microw. Wireless Compon. Lett., vol. 20, pp.390-392, July, 2010.
    [54]S.-L. Jang, W.-C. Cheng and C.-W. Hsue, “Wide-locking range divide-by-3 injection-locked frequency divider using 6th-order RLC resonator,” IEEE TVLSI, Vol. 24, No. 7, pp.2598-2602, 2016.
    [55]S.-L. Jang and C.-Y. Lin, “Wide-locking range Class-C injection-locked frequency divider,” Electron. Lett., vol. 50, 23, pp.1710-1712, 2014.
    [56]S.-L. Jang, X.-Y. Hang, and W.–T. Liu, “Review: capacitive cross-coupled injection-locked frequency dividers,” Analog Integr Circ Sig Process, 88:97-104, 2016.
    [57]S.-L. Jang and C.-H. Fang, “Divide-by-4 capacitive cross-coupled Injection-Locked frequency dividers,” Analog Integr Circ Sig Process., 86:59-63, 2016.
    [58]B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415-1424, Sep. 2004.
    [59]Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299-301, May 2006.
    [60]J. R. Hu and B. P. Otis, “A 3μW, 400 MHz divide-by-5 injection locked frequency divider with 56% lock range in 90nm CMOS,” in RFIC Symp., 2008 pp. 665-668.
    [61]X. Yi, C. C. Boon, M. A. Do, K. S. Yeo, and W. M. Lim, “Design of ring-oscillator-based injection-locked frequency dividers with single-phase inputs,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 10, pp. 559-561, 2011.
    [62]J. Jeong and Y. Kwon, “V-band high-order harmonic injection-locked frequency-divider MMICs with wide bandwidth and low-power dissipation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 1891-1898, Jun. 2005.
    [63]P.-K. Tsai, T.-H. Huang and Y.-H. Pang, “CMOS 40 GHz divide-by-5 injection-locked frequency divider,” IET Electronics Let., vol.46, no.14, pp.1003-1004, Jul. 2010.
    [64]M.-W. Li, P.-C. Wang, T.-H. Huang, and H.-R. Chuang, “Low-voltage, wide locking range, millimeter-wave divide-by-5 injection-locked frequency dividers,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 679-685, Mar. 2012.
    [65]M. Jalalifar and G.-S. Byun, “A K-band divide-by-five injection-locked frequency divider using a near-threshold VCO,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 12, pp. 881-883, 2014.
    [66]S.-L. Jang and C.-Y. Lin, “A wide-locking range Class-C injection-locked frequency divider,” Electronics Lett ., vol. 50, 23, pp.1710-1712, 2014.
    [67]S.-L.Jang, X.-Y. Hang, and W.–T. Liu, “Review: capacitive cross-coupled injection-locked frequency dividers,” Analog Integr Circ Sig Process, 88:97-104, 2016.
    [68]S.-L. Jang, T.-C. Kung and C.-W. Hsue, “Wide-locking range divide-by-4 injection-locked frequency divider using linear mixer approach,” IEEE Microw. Compon. Lett., published online, 2017.
    [69]Yu-Hsin Chang and Yen-Chung Chiang, “A low-power K-band divide-by-5 injection-locked frequency divider,” Microwave Conference (EuMC), 2016 46th European., 4 - 6 Oct 2016, pp. 289-292.
    [70]Wen-Cheng Lai, Sheng–Lyang Jang, Shih-Jie Jian, Tsui-Chun Kung and Ching-Wen Hsue, “Wide-locking range divide-by-5 injection-locked frequency divider using linear mixer approach for microwave device application,” Ubiquitous Wireless Broadband (ICUWB), 2016 IEEE International Conference on, 16-19 Oct. pp.1-3, 2016.
    [71]SP.-K. Tsai, T.-H. Huang and Y.-H. Pang, “CMOS 40 GHz divide-by-5 injection-locked frequency divider,” Electronics Letters .,vol. 46, pp.1003-1004, 2010.
    [72]Chin-Lung Yang, Tzuen-Hsi Huang, Chieh-Lun Chiang, Shao-Ping Yu, “Dynamic control to enhance locking range of divide-by-five prescaler for 24 GHz PLL,” 2013 IEEE MTT-S International Microwave Symposium Digest (MTT)., 2-7 June, 2013, pp.1-3.
    [73]Jinho Jeong and Youngwoo Kwon, “V-band high-order harmonic injection-locked frequency-divider MMICs with wide bandwidth and low-power dissipation,” IEEE Transactions on Microwave Theory and Techniques.,vol. 53, Issue 6, pp. 1891-1898, June 2005.
    [74]M. Tiebout; C. Kienmayer; R. Thuringer; C. Sandner; H. D. Wohlmuth; M. Berry; A. L. Scholtz, “17 GHz transceiver design in 0.13 μm CMOS,” 2005 IEEE Radio Frequency integrated Circuits (RFIC) Symposium - Digest of Papers., pp 101-104, 12-14 June, 2005.
    [75]Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injectionlocked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299-301, May 2006.
    [76]H. Wu and A. Hajimiri, “A 19 GHz 0.5 mW 0.35 um CMOS frequency divider with shunt-peaking locking-range enhancement,” in IEEE ISSCC Dig. Tech., Feb. 2001, pp. 412-413.
    [77]L. Wang, Y. Z. Xiong, S. M. Hu, and T. G. Lim, “A 0.13-μm HBT divide-by-6 injection-locked frequency divider,” 2011 IEEE ASSC Conf., Nov. 2011, pp. 97-100.
    [78]C.-C. Chan, T.-H. Lin, and H.-Y. Chang, “A 31.2% locking range K-band divide-by-6 injection-locked frequency divider using 90 nm CMOS technology,” in 2015 IEEE MTT-S International Microwave Symposium Digest, Phoenix, USA, May 2015.
    [79]T. Siriburanon, W. Deng, A. Musa, K. Okada, and A. Matsuzawa, “A 13.2% loking-range divide-by-6, 3.1mW, ILFD using even-harmonic-enhanced direct injection technique for millimeter-wave PLLs,” IEEE European Solid-State Circuits Conf., 2013, pp. 403-406.
    [80]P.-H. Feng, and S.-H. Liu, “A current-reused injection-locked frequency multiplication/division circuit in 40-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1523- 1532, Apr. 2013.
    [81]S.-L. Jang, T.-C. Kung and C.-W. Hsue, “Wide-locking range divide-by-3 injection-locked frequency divider through enhanced 2nd harmonic,” IEEE Microw. Wireless Compon. Lett., pp.537-539, 2016.
    [82]S.-L. Jang and C.-Y. Lin, “A wide-locking range class-C injection-locked frequency divider,” Electron, Lett., vol. 50, 23, pp. 1710-1712, 2014.
    [83]S.-L. Jang, X.-Y. Hang, and W.–T. Liu, “Review: capacitive cross-coupled injection-locked frequency dividers,” Analog Integr Circ Sig Process, 88:97-104, 2016.
    [84]S.-L. Jang, and C.-W. Chang, “A 90nm CMOS LC-tank divide-by-3 injection-locked frequency divider with record locking range,” IEEE Microw. Wireless Compon. Lett., vol. 20, pp.229-231, April, 2010.
    [85]S.-L. Jang, Y.-S. Chen, C.-W. Chang, and C.-C. Liu, “A wide-locking range ÷3 injection-locked frequency divider using linear mixer,” IEEE Microw. Wireless Compon. Lett., vol. 20, pp.390-392, July, 2010.
    [86]S.-L.Jang and C.-Y. Chuang, “Wide-locking range ÷3 series-tuned injection-locked frequency divider,” Analog Integr Circ Sig Process.,vol. 76, Issue 1, pp. 111-116, 2013.
    [87]Chun-Ching Chan, Tsung-Hsien Lin, and Hong-Yeh Chang , “A 31.2% locking range k-band divide-by-6 injection-locked frequency divider using 90 nm CMOS technology,” Microwave Symposium (IMS), 2015 IEEE MTT-S International. 27 July 2015.
    [88]L. Wang, Y. Z. Xiong, S. M. Hu, and T. G. Lim, “A 0.13-μm HBT divide-by-6 injection-locked frequency divider,” in IEEE Asian SolidState Circuits Conf., Nov. 2011, pp. 97-100.
    [89]T. Siriburanon, W. Deng, A. Musa, K. Okada, and A. Matsuzawa, “A 13.2% loking-range divide-by-6, 3.1mW, ILFD using even-harmonic-enhanced direct injection technique for millimeter-wave PLLs,” IEEE European Solid-State Circuits Conf., 2013, pp. 403-406.
    [90]P.-H. Feng, and S.-H. Liu, “A Current-Reused Injection-Locked Frequency Multiplication/Division Circuit in 40-nm CMOS,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 4, pp. 1523-1532, Apr. 2013.
    [91]M. Farazian, P. S. Gudem, and L. E. Larson, “A CMOS multi-phase injection-locked frequency divider for V-band operation,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 239-241, Apr. 2009.
    [92]F. H. Huang, D. M. Lin, H. P. Wang, W. Y. Chiu, and Y. J. Chan, “20 GHz CMOS injection-locked frequency divider with variable division ratio,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2005, pp. 469–472.
    [93]M. Acar, D. Leenaerts, and B. Nauta, “A wideband CMOS injection locked frequency divider,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2004, pp. 211-214.
    [94]Sheng-Lyang Jang. Xuan-You Hang, and Wei-Te Liu, “Capacitive cross-coupled injection-locked frequency dividers,” in Analog Integr Circ Sig Process. 27 April 2016, pp 97–104.

    無法下載圖示 全文公開日期 2022/08/21 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE