簡易檢索 / 詳目顯示

研究生: Faisal
Muhamad - Faisal
論文名稱: 同調傅立葉散射儀應用徑向基函數網路重建線寬
Radial Basis Function Networks for CD Reconstruction in Coherent Fourier Scatterometry
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 郭永麟
Yong-Lin Kuo
陳昭宏
Jau-Horng Chen
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2016
畢業學年度: 104
語文別: 英文
論文頁數: 90
中文關鍵詞: 同調傅立葉散射儀時域有限差分法徑向基函數網路線寬結構重建光柵
外文關鍵詞: coherent Fourier scatterometry (CFS), finite difference time domain (FDTD) analysis, radial basis function networks (RBFN), CD reconstruction, grating
相關次數: 點閱:842下載:22
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本研究主要為將徑向基函數網路(radial basis function networks, RBFN)應用於同調傅立葉散射儀(coherent Fourier scatterometry, CFS)中,重建奈米結構的幾何參數。由於週期性奈米結構的尺寸越趨緊密且其圖形越趨複雜,需要更多精確且敏感度高的量測工具。CFS為非傳統的量測工具,主要用於重建光柵的幾何結構,能利用廣泛不同的入射角增加量測敏感度,達到快速量測的效果,可重複量測並能夠應用於先進微影製程系統。
    利用CFS重建光柵主要有以下兩個步驟:第一步為利用繞射理論精確模擬奈米結構的繞射圖形,在此研究中,使用時域有限差分法(finite difference time domain, FDTD)分析其理論繞射圖形,並用多種不同的光柵參數建立模擬所需的理論繞射圖形資料庫,光柵參數包含底部線寬(bottom critical dimension, BCD)、光柵高度、側壁角度(sidewall angle, SWA),將資料庫圖形繪成50x50 pixel的影像轉換成輸出輸入的資料使電腦學習。第二步為利用理論繞射圖形和CFS量測的實驗繞射圖形,重建奈米結構的幾何參數,在此研究中,使用RBFN解決重建結構的問題,RBFN利用FDTD所分析的繞射圖形資料庫進行學習,為了最佳化此網路(RBFN),需要使用兩項驗證方式re-substitusion 和k-fold交叉驗證。
    此方法應用於量測五個不同週期的矽光柵,其實驗結果顯示樣品D有最大BCD誤差13nm、樣品A有最小BCD誤差2nm。而比較使用CFS和AFM量測BCD的結果顯示兩者相關性高,相關係數為R^2=0.9549。


    This study applies radial basis function networks (RBFN) in coherent Fourier scatterometry (CFS) to reconstruct the geometrical parameters of nanostructures. As the dimension of periodic nanostructures is denser and more complex, the demand of precise and sensitive metrology tools is increasing. CFS is emerging as an alternative metrology tools to reconstruct the geometrical parameters of the structures known as the gratings. CFS allows fast measurement with broadband incident angle to increase the sensitivity of measurement. It is repeatable and able to integrate in advanced lithography system.
    There are two successive steps which are used to reconstruct the grating parameters in CFS: the forward problem and the inverse problem. The forward problem is a process to obtain the theoretical diffraction maps by the nanostructures using the rigorous simulation. This thesis utilizes finite difference time domain (FDTD) analysis to obtain the theoretical signatures. The simulation is conducted by varying the grating parameters including the bottom critical dimension (BCD), height, and sidewall angle (SWA) to create the library of signature. The library of signature then is mapped to 50 × 50 pixel image and converted to input-output data training. The following step is to inversely reconstruct the geometrical parameters of nanostructures using theoretical signatures and experiment signature obtained by CFS measurement. In this thesis, we employ RBFN to solve the inverse problem in CFS. RBFN is then trained using the library of signature obtained by FDTD analysis. To optimize the networks, two cross validations are employed: re-substitution and k-fold cross validation.
    The proposed method is applied to measure 5 fabricated surface relief silicon gratings with different CD and period. The experiment results show that the maximum BCD difference is 13 nm deviation for the sample D and the minimum BCD difference is 2 nm for sample A. The correlation coefficient of the BCD measurement is strong between the CFS and AFM metrology with R2 of 0.9549.

    TABLE OF CONTENT ABSTRACT ii 摘要 iii ACKNOWLEDGMENT iv TABLE OF CONTENT v LIST OF FIGURES vii LIST OF TABLES xi CHAPTER 1 INTRODUCTION 1 1.1 Background 1 1.2 Literature Review 5 1.3 Motivation and Research Objectives 8 1.4 Organization of Thesis 9 CHAPTER 2 COHERENT FOURIER SCATTEROMETRY 11 2.1 Introduction 11 2.2 Diffraction Grating Theory 12 2.3 Diffraction Map for Fourier Scatterometry 15 2.4 CD Reconstruction 17 2.5 Summary 19 CHAPTER 3 DIFFRACTION MAP OF SURFACE RELIEF SILICON GRATING 21 3.1 Introduction 21 3.2 Surface Relief Silicon Grating Design 22 3.3 Diffraction Map Calculation Using FDTD 23 3.4 Conversion to Data Training 35 3.5 Summary 36 CHAPTER 4 RADIAL BASIS FUNCTION NETWORKS 37 4.1 Introduction 37 4.2 RBFN for CD Reconstruction in CFS 38 4.3 RBFN Performance Verification 42 4.4 Results Discussion 52 4.5 Summary 53 CHAPTER 5 RECONSTRUCTION PARAMETER OF SURFACE RELIEF SILICON GRATING IN COHERENT FOURIER SCATTEROMETRY 54 5.1 Introduction 54 5.2 Experiment Signature of Coherent Fourier Scatterometry 56 5.3 AFM Measurement Result 58 5.4 Reconstruction Parameter of Surface Relief Etched Silicon Grating Using Radial Basis Function Network 59 5.5 Discussion 61 5.6 Summary 62 CHAPTER 6 CONCLUSION AND FUTURE WORKS 63 6.1 Conclusion 63 6.2 Contributions 64 6.3 Future Works 65 BIBLIOGRAPHY 66 APPENDIX A 73

    BIBLIOGRAPHY

    [1] G. E. Moore, "Progress in digital integrated electronics," Electron Devices Meeting, vol. 21, 1975.
    [2] K. Fischer, M. Agostinelli, C. Allen, D. Bahr, et al, "Low-k interconnect stack with multi-layer air gap and tri-metal-insulator-metal capacitors for 14nm high volume manufacturing," in IEEE IITC/MAM Conf., 2015, pp. 5-8.
    [3] B. J. Lin, "Making lithography work for the 7-nm node and beyond in overlay accuracy, resolution, defect, and cost," Microelectron. Eng., vol. 143, pp. 91-101, Aug. 2015.
    [4] (2015). International Technology Roadmap for Semiconductor. Available: http://www/itrs.net/
    [5] M. Asano, T. Ikeda, T. Koike, H. Abe, "Evaluation of producer’s and consumer’s risks in scatterometry and scanning electron microscopy metrology for inline critical dimension metrology," J. Micro/Nanolithogr., MEMS, and MOEMS, vol. 5, no. 4, pp. 043006 1-14, Dec. 2006.
    [6] Sendelbach, Matthew, N. Sarig, K. Wakamoto, H. K. Kim, et al, "Impact of shrinking measurement error budgets on qualification metrology sampling and cost," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XXVIII, 2014, pp. 415-426.
    [7] P.J. Jiang, H. Chu, D. Wack, "Forward solve algorithms for optical critical dimension metrology," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XXII, 2008, pp. 69221O.
    [8] S. A. Coulombe, B. K. Minhas, C. J. Raymond, S. S. H. Naqvi, J. R. McNeill, "Scatterometry measurement of sub-0.1 μm linewidth gratings," J. Vac. Sci. Technol. B, vol. 16, no. 1, pp. 80-87, 1998.
    [9] X. Niu, N. Jakatdar, J. Bao, C. J. Spanos, "Specular spectroscopic scatterometry," IEEE Trans. Semicond. Manuf., vol. 14, no. 2, pp. 97-111, May 2001.
    [10] R. M. Al-Assaad, S. Reginda, L. Tao, S. W. Pang, "Characterizing nanoimprint profile shape and polymer flow behavior using visible light angular scatterometry," J. Vac. Sci. Technol. B, vol. 25, no. 6, pp. 2396-2401, 2007.
    [11] M. Losurdo, M. Bergmair, G. Bruno, D. Cattelan, et al, "Spectroscopic ellipsometry and polarimetry for materials and systems analysis at the nanometer scale: state-of-the-art, potential, and perspectives," J. Nanopart. Res., vol. 11, no. 7, pp. 1521-1554, 2009.
    [12] G. V. Zhuang, S. Spielman, J. Fielden, D. C. Wack, L. Poslavsky, B. D. Bunday, "Dark-field optical scatterometry for line-width-roughness metrology," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XXIII, 2009, pp. 72720L 1-12.
    [13] B. K. Minhas, S. A. Coulombe, S. S. H. Naqvi, J. R. McNeil, "Ellipsometric scatterometry for the metrology of sub-0.1-μm-linewidth structures," Appl. Opt., vol. 37, no. 22, pp. 5112-5115, Aug. 1998.
    [14] P. Boher, J. Petit, T. Leroux, J. Foucher, et al, "Optical Fourier transform scatterometry for LER and LWR metrology," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XIX, 2005, pp. 192-203.
    [15] V. F. Paz, S. Peterhansel, K. Frenner, W. Osten, et al, "Depth sensitive Fourier-Scatterometry for the characterization of sub-100 nm periodic structures,” in Proc. SPIE Modeling Aspects in Optical Metrology III, 2011, pp 80830M 1-9.
    [16] V. F. Paz, A. Peterhansel, K. Frenner, W. Osten, "Solving the inverse grating problem by white light interference Fourier scatterometry," Light: Sci. Appl. 1, e36, Nov. 2012.
    [17] N. Kumar, O. El. Gawhary, S. Roy, V. G. Kutchoukov, S. F. Pereira, et al, "Coherent Fourier scatterometry: tool for improved sensitivity in semiconductor metrology," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XXVI, 2012, pp. 83240Q 1-8.
    [18] N. Kumar, O. El, Gawhary, S. Roy, S. F. Pereira, et al, "Phase information in coherent Fourier scatterometry," in Proc. SPIE Optical Measurement Systems for Industrial Inspection VIII, 2013, pp. 87881P 1-8.
    [19] S. Roy, A. C. Assafrao, S. F. Pereira, H. P. Urbach, "Coherent Fourier scatterometry for detection of nanometer-sized particles on a planar substrate surface," Opt. Express, vol. 22, no.11, pp. 13250-13262, May 2014.
    [20] J. Endress, N, Kumar, P. Petrik, M. -A. Henn, et al, "Measurement comparison of goniometric scatterometry and coherent fourier scatterometry," in Proc. SPIE Optical Micro- and Nanometrology V, 2014, pp 913208 1-9.
    [21] O. El. Gawhary, N. Kumar, S. F. Pereira, W. M. J. Coene, H. P. Urbach, "Performance analysis of coherent optical scatterometry," Appl. Phys. B, vol. 105, no. 4, pp. 775-781, Dec. 2011.
    [22] N. Kumar, P. Petrik, G. K. P. Ramanandan, O. El. Gawhary, et al, "Reconstruction of sub-wavelength features and nano-positioning of gratings using coherent Fourier scatterometry," Opt. Express, vol. 22, no. 20, pp. 24678-24688, Oct. 2014.
    [23] R. Silver, T. Germer, R. Attota, B. M. Barnes, B. Bunday, et al, "Fundamental limits of optical critical dimension metrology: a simulation study," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XXI, 2007, pp. 65180U 1-17.
    [24] C. J. Raymond, M. R. Murnane, S. L. Prins, S. Sohail, et al, "Multiparameter grating metrology using optical scatterometry," J. Vac. Sci. Technol. B, vol. 15, no. 2, pp. 361-368, Mar. 1997.
    [25] E. M. Drege, and D. M. Byrne, "Lithographic process monitoring using diffraction measurements," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XIV, 2000, pp. 147-157.
    [26] E. M. Drege, R. M. Al-Assad, D. M. Byrne, "Mathematical analyses of inverse scatterometry," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XVI, 2002, pp. 151-162.
    [27] R. M. Al-Assad, and D. M. Byrne, "Error analysis in inverse scatterometry. I. Modeling," J. Opt. Soc. Am. A, vol. 24, no. 2, pp. 326-338, Feb. 2007.
    [28] C. J. Raymond, M. Littau, A. Chuprin, S. Ward, "Comparison of solutions to the scatterometry inverse problem," in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XVIII, 2004, pp. 564-575.
    [29] M. A. Henn, H. Gross, F. Scholze, M. Wurn, et al, "A maximum likelihood approach to the inverse problem of scatterometry," Opt. Express, vol. 20, no. 12, pp. 12771-12786, Jun. 2012.
    [30] M. A. Henn, H. Gross, F. Scholze, C. Elster, M. Bar, "Improved geometry reconstruction and uncertainty evaluation for extreme ultraviolet (EUV) scatterometry based on maximum likelihood estimation," in Proc SPIE Modeling Aspects in Optical Metrology III, 2011, pp. 80830N 1-10.
    [31] I. Kallioniemi, J. Saarinen, and E. Oja, "Optical scatterometry of subwavelength diffraction gratings: neural-network approach," Appl. Opt., vol. 37, no. 25, pp. 5830-5835, Sep. 1998.
    [32] I. Kallioniemi, J. Saarinen, and E. Oja, "Characterization of diffraction gratings in a rigorous domain with optical scatterometry: hierarchical neural-network model," Appl. Opt., vol. 38, no. 28, pp. 5920-5930, Oct. 1999.
    [33] S. Robert, A. Mure-Ravaud, and D. Lacour, "Characterization of optical diffraction gratings by use of a neural method," J. Opt. Soc. Am. A, vol. 19, no. 1, pp. 24-32, Jan. 2002.
    [34] S. Wei, and L. Li, "Measurement of photoresist grating profiles based on multiwavelength scatterometry and artificial neural network," Appl. Opt., vol. 47, no. 13, pp. 2524-2532, May 2008.
    [35] I. Gereige, S. Robert, G. Granet, “Optimal architecture of a neural network for a high precision in ellipsometric scatterometry,” in Proc. SPIE Instrumentation, Metrology, and Standards for Nanomanufacturing, 2007, pp. 66480G 1-11.
    [36] I. Gereige, S. Robert, S. Thiria, F. Badran, et al, "Recognition of diffraction-grating profile using a neural network classifier in optical scatterometry," J. Opt. Soc. Am. A, vol. 25, no. 7, pp. 1661-1667, Jul. 2008.
    [37] H. G. Lee, E. Schmitt-Weaver, M. S. Kim, S. J. Han, et al, “Virtual overlay metrology for fault detection supported with integrated metrology and machine learning”, in Proc. SPIE Metrology, Inspection, and Process Control for Microlithography, 2015, pp. 94241T 1-6.
    [38] J. Zhu, S. Liu, C. Zhang, X. Chen, Z. Dong, "Identification and reconstruction of diffraction structures in optical scatterometry using support vector machine method," J. Micro/Nanolithogr., MEMS, and MOEMS, vol. 12, no. 1, pp. 013004 1-10, Mar. 2013.
    [39] J. Zhu, S. Liu, C. Zhang, X. Chen, Z. Dong, "Identification and reconstruction of diffraction structures in optical scatterometry using support vector machine method," Journal of Micro/Nanolithography, MEMS, and MOEMS, vol. 12.1, 2013.
    [40] C. Zhang, S. Liu, T. Shi, Z. Tang, "Fitting-determined formulation of effective medium approximation for 3D trench structures in model-based infrared reflectrometry," J. Opt. Soc. Am. A, vol. 28, no. 2, pp. 263-271, Feb. 2011.
    [41] X. Chen, S. Liu, C. Zhang, J. Zhu, "Improved measurement accuracy in optical scatterometry using fitting error interpolation based library search," Measurement, vol. 46, no, 8, pp. 2638-2646, Oct. 2013.
    [42] S. Liu, "Computational metrology for nanomanufacturing," in Proc. SPIE 6th International Symposium on Precision Mechanical Measurements, 2013, pp. 891606 1-12.
    [43] Z. Dong, S. Liu, X. Chen, C. Zhang, "Determination of an optimal measurement configuration in optical scatterometry using global sensitivity analysis," Thin Solid Films, vol. 562, pp. 16-23, Jul. 2014.
    [44] J. Zhu, S. Liu, X. Chen, C. Zhang, H. Jiang, "Robust solution to the inverse problem in optical scatterometry," Optics Express, vol. 22.18, 2014.
    [45] J. Zhu, H. Jiang, Y. Shi, C. Zhang, X. Chen, S liu, "Fast and accurate solution of inverse problem in optical scatterometry using heuristic search and robust correction," J. Vac. Sci. Technol. B, vol. 33, no. 3, pp. 031807 1-9, Jun. 2015.
    [46] M. J. L. Orr, “Regularisation in the selection of radial basis function centres”, Neural Comput., vol. 7, no. 3, pp. 606-623, Apr. 1995.
    [47] G.B. Huang, P. Saratchandran, N. Sundararajan, "A generalized growing and pruning RBF (GGAP-RBF) neural network for function approximation," IEEE Trans. Neural Network, vol. 16.1, 2005.
    [48] S. F. Su, C. C. Chuang, C. W. Tao, J. T. Jeng, C. C. Hsiao, "Radial basis function networks with linear interval regression weights for symbolic interval data," IEEE Trans. Syst., Man, Cybern., Part B: Cybern., vol. 42, no. 1, pp. 69-80, Feb. 2012.
    [49] A. Alexandridis, E. Chondrodima, E. Efthimiou, G. Papadakis, F. Vallianatos, D. Triantis, "Large earthquake occurrence estimation based on radial basis function neural networks," IEEE Trans. Geosci. Remote Sens., vol. 52, no. 9, pp. 5443-5253, Sep. 2014.
    [50] A. Rubio-Solis, and G. Panoutsos, "Interval type-2 radial basis function neural network: A modeling framework." IEEE Trans. Fuzzy Syst., vol. 23.2, 2015.
    [51] K. Ganapathy, V. Vaidehi, and J. B. Chandrasekar, "Optimum steepest descent higher level learning radial basis function network," Expert Syst. Appl., vol. 42.21, 2015.
    [52] M. Sendelbach, A. Vaid, P. Herrera, T. Dzuira, M. Zhang, A. Srivatsa, "Use of multiple azimuthal angles to enable advanced scatterometry applications," in Proc. of SPIE Metrology, Inspection, and Process Control for Microlithography, 2010, pp. 76381G 1-11.
    [53] S. Zhangooie, J. Li, K. Boinapally, P. Wilkens, A. Ver, et al, "Enhanced optical CD metrology by hybridization and azimuthal scatterometry," in Proc. of SPIE Metrology, Inspection, and Process Control for Microlithography, 2014, pp. 90501G 1-10.
    [54] S. S. Haykin, Neural Networks and Learning Machines, 2009, Prentice-Hall.
    [55] A. Tarantola, Inverse Problem Theory and Method for Model Parameter Estimation, 2005, SIAM.
    [56] J. W. Goodman, Introduction to Fourier Optics, 2005, McGraw-Hill.
    [57] M. G. M. M. van Kraaij, Forward Diffraction Modelling: Analysis and Application to Grating Reconstruction, 2011, Eindhoven: Technische Universiteit Eindhoven.
    [58] B. E. A. Saleh, M. C. Teich, Fundamental of Photonics, 2007, Wiley.

    QR CODE