簡易檢索 / 詳目顯示

研究生: 蕭百成
Bai-Cheng Xiao
論文名稱: 銅化學機械平坦化之軟拋光墊性能指標分析研究
Analysis on Performance Index of Fine Polishing Pad for Copper CMP Process
指導教授: 陳炤彰
Chao-Chang Chen
口試委員: 趙崇禮
Choung-Lii Chao
顧逸霞
Yi-Sha Ku
吳昌謀
Chang-Mou Wu
周育任
Yu-Jen Chou
陳炤彰
Chao-Chang Chen
學位類別: 碩士
Master
系所名稱: 工程學院 - 機械工程系
Department of Mechanical Engineering
論文出版年: 2019
畢業學年度: 107
語文別: 中文
論文頁數: 186
中文關鍵詞: 拋光墊選擇比銅膜化學機械拋光阻障層膜化學機械拋光銅圖案化學機械拋光
外文關鍵詞: Pad, Selectivity, Cu CMP, Barrier CMP, Cu pattern CMP
相關次數: 點閱:198下載:1
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨著半導體元件金屬導線製程不斷微細化追求更高解析度的技術。化學機械拋光平坦化(Chemical Mechanical Polishing/Planarization, CMP)不斷面臨許多挑戰。CMP製程能有效提升晶圓表面平坦度與表面品質,因此選用適當的影響因子能降低製程中導線的刮傷(Scratch)、壓痕(Indentation),或拋光後拋光墊物理特性對導線上形成的Dishing(導線凹陷)與Erosion(介電質腐蝕)現象。本研究主要探討精拋墊之物理特性在後段導線(BEOL)拋光後對導線上Dishing與Erosion之影響因子。本研究分為三部份:首先針對精拋墊特殊表面進行微結構觀察與機械性質量測,量測項目包含:壓縮性、壓縮回彈率、硬度、承載比,並進行精拋墊之量測結果分析;第二部分為透過不同製程參數進行銅膜晶圓與阻障層膜晶圓之化學機械拋光,並探討材料移除率(MRR)、材料選擇比與拋光墊特性相關分析,實驗結果顯示移除率與壓縮回彈率和承載比呈現正比,材料選擇比與壓縮率和承載比的反應區Sk呈現高度正相關性。最後,將各款精拋墊應用於銅圖案晶圓化學機械拋光實驗,探討銅圖案化晶圓拋光後的Dishing與Erosion與拋光墊物理性質之相關性,實驗結果顯示要降低銅導線之凹陷(Dishing),與孔洞大小、壓縮率與壓縮回彈率有著高度相關性;要使介電質腐蝕(Erosion)降低,與拋光墊上的承載比和壓縮回彈率有著極高的正相關性。研究結果可用於銅拋光選擇比設計拋光墊。


    Semiconductor devices recently are consistently heading to achieve higher resolution due to copper wires interconnect in back end of line(BEOL), Chemical Mechanical Polishing/Planarization(CMP) continues to face many challenges to fulfill such demands. The CMP process can effectively improve the flatness and surface quality of wafer and thin film surface. Therefore, the selection of appropriate influencing factors can reduce the scratches and the indentation of the wires in the process. Dishing and erosion phenomena formed on the wires after polishing can be basically caused by the physical properties of the polishing pad. This study mainly investigates the performance index of physical properties of polishing pad on the dishing and erosion on the wire after BEOL Cu CMP. This study is divided into three parts: first, structural observation is performed on the fine polishing pad and the measurement of mechanical properties including compressibility, compression recovery rate, hardness and bearing area ratio. The second part is the CMP of copper film and barrier film wafer through different process parameters and discusses the correlation between material removal rate(MRR), material selection ratio and polishing pad characteristics. Results show that the MRR is proportional to the compression recovery rate and the bearing area ratio, and the selectivity ratio of Cu/Ta and Cu/TaN is highly correlated with the compression ratio and transition section of bearing area ratio(Sk). Finally, the fine polishing pad is applied to the copper pattern CMP experiment to investigate the correlation between dishing, and erosion related to the physical properties of polishing pad after polishing. Results of correlation analysis show that pore size, compression ratio, and compression recovery rate are highly correlated with the dishing of copper wires. Consequently, the bearing area ratio and compression recovery rate are highly correlated with the erosion of copper wire patterns. Result of the study can be used to select from polishing pad to design Cu CMP.

    摘要 I Abstract II 致謝 III 目錄 V 圖目錄 IX 表目錄 XVIII 符號表 XXI 第一章 緒論 1 1.1 研究背景 1 1.2 研究目的與方法 5 1.3 論文架構 6 第二章 文獻回顧 8 2.1 拋光墊分析相關文獻 8 2.2 銅膜晶圓拋光相關文獻 17 2.3 阻障層膜化學機械平坦化相關文獻 25 2.4 銅圖案晶圓化學機械平坦化相關文獻 31 2.5 文獻回顧總結 38 第三章 後段導線製程與拋光墊特性分析 39 3.1 後段導線製程介紹 39 3.2 拋光墊性能之分析 43 3.2.1 拋光墊分類與功能 43 3.2.2 拋光墊結構與性能分析 46 3.2.3 拋光墊表面形貌與量測方式 48 3.3 承載面積比分析拋光墊 50 第四章 實驗規劃與設備 53 4.1 實驗規劃 53 4.1.1 拋光墊性質與分析(實驗A) 54 4.1.2 Copper/Barrier layer CMP 選擇比分析(實驗B) 55 4.1.3 銅圖案晶圓化學機械拋光分析(實驗C) 56 4.2 實驗設備 57 4.3 實驗耗材 58 4.3.1 拋光墊 58 4.3.2 測試晶圓 59 4.3.3 拋光液 63 4.3.4 毛刷 65 4.4 量測設備 66 第五章 實驗結果與討論 68 5.1 拋光墊性質與分析(實驗A) 69 5.1.1 拋光墊表面形貌與微結構分析 69 5.1.2 拋光墊基本機械性質 75 5.1.3 拋光墊接觸角量測 79 5.1.4 拋光墊動摩擦係數分析 82 5.1.5 拋光墊承載比分析 85 5.2 Copper/Barrier layer CMP 選擇比分析(實驗B) 87 5.2.1 化學機械拋光參數設置 87 5.2.2 拋光墊對於銅膜Copper之分析 89 5.2.2.1 製程參數對於晶圓移除率之影響 89 5.2.2.2 製程參數對於晶圓非均勻性之影響 92 5.2.2.3 製程參數對於晶圓表面粗糙度之影響 95 5.2.3 拋光墊對於鉭膜Ta之分析 97 5.2.3.1 製程參數對於晶圓材料移除率之影響 97 5.2.3.2 製程參數對於晶圓選擇比之影響 100 5.2.3.3 製程參數對於晶圓非均勻性與粗糙度之影響 103 5.2.4 拋光墊對於氮化鉭膜TaN之分析 107 5.2.4.1 製程參數對於晶圓移除率之影響 107 5.2.4.2 製程參數對於晶圓選擇比之影響 109 5.2.4.3 製程參數對於晶圓非均勻性與粗糙度之影響 112 5.2.5 不同拋光墊特性對於晶圓之分析 116 5.2.5.1 拋光墊特性對材料移除率之影響 116 5.2.5.2 拋光墊接觸角對材料移除率之影響 123 5.2.5.3 拋光墊特性對晶圓CMP選擇比之影響 125 5.3 銅圖案晶圓化學機械拋光分析(實驗C) 129 5.3.1 化學機械拋光參數設置 129 5.3.2 製程參數對於銅圖案MA.1導線之分析 132 5.3.3 製程參數對於銅圖案MA.2導線之分析 137 5.3.4 製程參數對於銅圖案MA.3導線之分析 140 5.3.5 不同拋光墊特性對於銅圖案晶圓之分析 143 5.3.5.1 拋光墊特性對Dishing之影響 143 5.3.5.2 拋光墊特性對Erosion之影響 149 5.4 綜合結果與討論 154 第六章 結論與建議 155 6.1 結論 155 6.2 建議 157 參考文獻 158 附錄 A 量測設備 163 附錄 B 銅膜拋光後移除率與表面粗糙度 166 附錄 C 鉭膜拋光後移除率與表面粗糙度 170 附錄 D 氮化鉭膜拋光後移除率與表面粗糙度 174 附錄 E 銅圖案MA.1導線拋光後表面粗糙度 178 附錄 F 銅圖案MA.2導線拋光後表面粗糙度 181 附錄 G 銅圖案MA.3導線拋光後表面粗糙度 184

    [1] S. Babu, "Advances in Chemical Mechanical Planarization (CMP)." Woodhead Publishing, 2016.
    [2] P.S. Ho, J. Leu, W.W. Lee. "Low Dielectric Constant Materials for IC Applications." Springer Science & Business Media, Vol. 9, 2003.
    [3] G. Banerjee, R. L. Rhoades. "Chemical Mechanical Planarization Historical Review and Future Direction." ECS Transactions, Vol. 13, pp. 1-19, 2008.
    [4] 丁嘉仁,翁志強,劉俊葳, "碳化矽晶圓複合加工技術." 機械工業雜誌,414期,2017.
    [5] T. Eusner, N. Saka, J. H. Chun. "Breaking-In a Pad for Scratch-Free, Cu Chemical-Mechanical Polishing." Journal of The Electrochemical Society, vol. 158, 2011.
    [6] 王柏凱, "雷射共軛焦三維表面形貌量測儀開發應用於拋光墊之碎行維度和承載比分析." 國立臺灣科技大學,機械工程學系碩士論文,2013.
    [7] 黃星豪, "藍寶石晶圓拋光加工之摩擦力與拋光墊機械性質分析研究. " 國立臺灣科技大學,機械工程學系碩士論文,2013.
    [8] 蔡明城,"開發線上監控量測方法與系統應用於拋光墊性能水準分析之研究." 國立臺灣科技大學,機械工程學系碩士論文,2016.
    [9] Y. Mu, Y. Zhuang, Y. Sampurno, X. Wei, T. Ashizawa, H. Morishima, A. Philipossian. "Effect of pad groove width on slurry mean residence time and slurry utilization efficiency in CMP." Microelectronic Engineering, vol. 157, pp. 60–63, 2016.
    [10] 王詩堯,"化學機械拋光之拋光墊性能於淺溝槽隔離製程之分析研究." 國立臺灣科技大學,機械工程學系碩士論文,2018.
    [11] S. Choi, F. M. Doyle, D. Dornfeld. "A model of material removal and post process surface topography for copper CMP." Procedia Engineering, vol. 19, pp. 73 – 80, 2011.
    [12] J. Li, Y. Liu, Y. Pan, X. Lu. "Chemical roles on Cu-slurry interface during copper chemicalmechanical planarization." Applied Surface Science, vol. 293, pp. 287– 292, 2014.
    [13] 戴佩瑜,"1,2,4-Triazole 抑制劑之拋光液於銅膜晶圓化學機械拋光後清洗製程影響研究." 國立臺灣科技大學,機械工程學系碩士論文,2015.
    [14] J. Cheng, T. Wang, Y. He, X. Lu. "Material removal mechanism of copper chemical mechanicalpolishing in a periodate-based slurry." Applied Surface Science, vol. 337, pp. 130–137, 2015.
    [15] X. Luan, Y. Liu, C. Wang, X. Niu, J. Wang, W. Zhang. "A study on exploring the alkaline copper CMP slurry without inhibitors to achieve high planarization efficiency." Microelectronic Engineering, vol. 160, pp. 5–11, 2016.
    [16] Q. Xu, L. Chen, F. Yang, H. Cao. "Influence of slurry components on copper CMP performance in alkaline slurry." Microelectronic Engineering, vol. 183–184, pp. 1–11, 2017.
    [17] Y.H. Chen, T.H. Tsai, S.C. Yen. "Acetic acid and phosphoric acid adding to improve tantalum chemical mechanical polishing in hydrogen peroxide-based slurry." Microelectronic Engineering, vol. 87, pp. 174–179, 2010.
    [18] C. Wang, Y. Liu, J. Tian, B. Gao, X. Niu. "A study on the comparison of CMP performance between a novel alkaline slurry and a commercial slurry for barrier removal." Microelectronic Engineering, vol. 98, pp. 29–33, 2012.
    [19] C. Wang, J. Gao, J. Tian, X. Niu, Y. Liu. "Chemical mechanical planarization of barrier layers by using a weakly alkaline slurry." Microelectronic Engineering, vol. 108, pp. 71–75, 2013.
    [20] X. Luan, Y. Liu, C. Wang, G. Liu. "Stability of weakly alkaline barrier slurry with the high selectivity." Microelectronic Engineering, vol. 130, pp. 28–34, 2014.
    [21] X. Luan, Y. Liu, B. Zhang, S. Wang, X. Niu, C. Wang, J. Wang. "Investigation of the barrier slurry with better defect performance and facilitating post-CMP cleaning." Microelectronic Engineering, vol. 170, pp. 21–28, 2017.
    [22] Y. Takaya, H. Kishida, T. Hayashi, M. Michihata, K. Kokubo. "Chemical mechanical polishing of patterned copper wafer surface using water-soluble fullerenol slurry." CIRP Annals - Manufacturing Technology, vol. 60, pp. 567–570, 2011.
    [23] X. Niu, C. Wang, J. Wang, G. Lu, Y. Liu. "Achievement of non-selectivity barrier slurry by adding H3PO4 and Its application in patterned wafers CMP." IEEE, 2012.
    [24] S. Joo, H. Liang, "Tribo-electrochemical characterization of copper with patterned geometry." Microelectronic Engineering, vol. 98, pp. 12–18, 2012.
    [25] S. Joo, H. Liang. "In Situ Characterization of Triboelectrochemical Effects on Topography of Patterned Copper Surfaces." Journal of ELECTRONIC MATERIALS, vol. 42, No. 6, 2013.
    [26] J. Cheng, B. Wang, T. Wang, C. Li, X. Lu. "Chemical Mechanical Polishing of Inlaid Copper Structures with Ru/Ta/TaN as Barrier/Liner Layer." ECS Journal of Solid State Science and Technology, vol. 7, pp. 634–639, 2018.
    [27] W. G. Lee. "Smartly connected world based on low threshold Ge on Si laser. " Celtic-Plus, 2016.
    [28] 賴仁德,"砷化鎵高速元件積體電路之金屬鑲嵌銅製程." 國立交通大學,工學院產業安全與防災學系碩士論文,2005.
    [29] K. Tanwar, D. Canaperi, M. Lofaro, W. Tseng, R. Patlolla, C. Penny, C. Waskiewicz. "BEOL Cu CMP Process Evaluation for Advanced Technology Nodes." Journal of The Electrochemical Society, vol. 7, 2013.
    [30] G. P. Muldowney, D.B. James. "Characterization of CMP pad surface texture and pad-wafer contact." MRS Online Proceedings Library Archive, vol. 816, 2004.
    [31] 溫禪儒,"單晶矽與藍寶石晶圓化學機械平坦化之拋光墊有效壽命指標分析研究." 國立臺灣科技大學,機械工程學系碩士論文,2014.
    [32] "Geometrical Product Specifications (GPS) - Surface texture: Areal, Part1: Terms, definitions and surface texture parameters." ISO 25178-2, 2012.
    [33] I. Sherrington, S. Mercer. "The use of topography‐based parameters for the assessment and prediction of surface wear." Lubrication Science, vol. 7, pp. 1-11, 2000.
    [34] F.W. Preston. "The theory and design of glass polishing machines," Journal of the society of glass technology, p. 214, 1927.
    [35] 林震岩,"多變量分析:SPSS的操作與應用" 智勝出版社,2007.
    [36] R. V. Ihnfeldt. "Chemically Impregnated Abrasives Provide High Planarization Efficiency Copper CMP Slurry." ECS Transactions, 61 (17) 1-13, 2014.
    [37] 陳鈺庭,"拋光墊修整磨合期對銅膜晶圓化學機械拋光影響研究" 國立臺灣科技大學,機械工程學系碩士論文,2014.
    [38] 蔡岳勳,"電制動力應用於銅化機械拋光平坦化效應研究" 國立臺灣科技大學,機械工程學系碩士論文,2014.
    [39] 傅彥綺,"拋光墊線上量測系統於修整性能分析與銅化學機械拋光之相關性研究" 國立臺灣科技大學,機械工程學系碩士論文,2016.
    [40] 李怡萱,"微細發泡射出成形於拋光墊製造及化學機械平坦化應用研究" 國立臺灣科技大學,機械工程學系碩士論文,2018.

    QR CODE