簡易檢索 / 詳目顯示

研究生: 陳羿汶
Yi-Wen Chen
論文名稱: 氮化鎵及CMOS震盪器與高偶模注入式鎖定除頻器之研究
GaN HEMT and CMOS Oscillators and High Even-Modulus Injection-Locked Frequency Divider
指導教授: 張勝良
Sheng-Lyang Jang
口試委員: 張勝良
Sheng-Lyang Jang
莊敏宏
Miin-Horng Juang
溫俊瑜
Jiun-Yu Wen
黃進芳
Jhin-Fang Huang
學位類別: 碩士
Master
系所名稱: 電資學院 - 電子工程系
Department of Electronic and Computer Engineering
論文出版年: 2019
畢業學年度: 107
語文別: 英文
論文頁數: 142
中文關鍵詞: 壓控震盪器注入鎖定除頻器注入鎖定震盪器氮化鎵
外文關鍵詞: VCO, ILFD, ILO, GAN
相關次數: 點閱:245下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  •   在RF射頻收發機中,頻率合成器的特性非常重要,內部包含了相位偵測器(PFD)、充電幫浦(CP)、迴路濾波器(LF)、壓控振盪器(VCO)、除頻器(FD),而這其中又以壓控振盪器和注入鎖定除頻器特性為主要電路。壓控振盪器需要低相位雜訊來避免相鄰雜訊訊號經由混波轉換的干擾,壓控振盪器的輸出在經由除頻器來達到降頻的工作,因此除頻器必須具有高的操作頻寬與頻率。本篇論文提出兩種振盪器與一除頻器。
      首先,我們探討一個使用穩懋0.25微米氮化鎵(GaN)製程之2.5 GHz低相位雜訊GaN HEMT振盪器。此振盪器使用雙迴授結構,一迴授藉由變壓器耦合;另一迴授使用π型低通濾波器作為迴授電路,並利用緩衝器優化振盪器之特性。在輸入14.9 mW之功率下,此電路能產生5.2 GHz之訊號,並由5.2 GHz之載波於1 MHz頻率偏移中,得一相位雜訊為-125.77 dBc/Hz,計算所得到的FoM為 -188 dBc/Hz,此電路的面積為2×1 mm2。
      其次,本篇量測一個使用台積電0.18矽鍺微米製程之無變容器D型LC諧振注入鎖定震盪器,此振盪器使用雙注入MOSFET耦合信號,供給於D型震盪器,並被使用為諧波混頻器。此次的量測在供給電壓0.7 V下,其消耗功率為8.77 mW,輸出電壓振幅為供給電壓之3倍,並得一鎖定範圍2.41 GHz ~ 2.86 GHz。因為高電壓振幅輸出,可得更大之2次諧波信號,所以此電路可作為一注入鎖定倍頻器。
      第三,本篇設計並分析一電流重用佈局之寬鎖定範圍高偶數模LC共振腔注入鎖定除頻器,電流重用LC注入鎖定除頻器,係利用兩堆疊LC次注入鎖定除頻器,共用直流電流而組成。兩次注入鎖定除頻器皆為了得到高轉換增益,而使用nMOSFET作為線性注入混頻器。此電路使用台積電0.18微米製程,以÷4及÷2作為次注入鎖定除頻器,在供給電壓為1.6 V及注入功率為0 dBm下,從注入頻率8.3 GHz ~ 12.3 GHz,得一鎖定範圍為4GHz (38.835 %),其功耗為13.98 mW,晶片面積為1.2 × 1.2 mm2。由於雙諧振震盪器被應用於n核無變容器之注入鎖定除頻器中,使得÷8 LC注入鎖定除頻器及÷4次注入鎖定除頻器,皆有非重疊與重疊之鎖定範圍,LC雙諧振震盪器現象是由於寄生於主動FET、晶片上的螺旋電感及感應元件上之電容產生,其可用於獲得寬頻的鎖定範圍。在設計之電路中也將探討兩個固有的÷4注入鎖定除頻器。


    In the RF transceiver, frequency synthesizer is very important, its blocks include Phase Frequency Detector (PFD), Charge Pump (CP), Loop Filter (LF), Voltage Controlled Oscillator (VCO), and Frequency Divider (FD). In order to pursue the most important characteristics performance of VCO and Divider, low-power, low phase noise, wide Locking range, this thesis presents the design GaN HEMT oscillator and Injection-Locked Frequency Dividers (ILFDs).
    First, this thesis designs a low-phase noise 5.4 GHz GaN HEMT oscillator with GaN-on-SiC HEMT foundry process of WIN semiconductors. The oscillator uses a dual-feedback technique, the first feedback uses a transformer coupling feedback and the second feedback uses a low-pass π-filter feedback. The GaN oscillator uses buffer to characterize the oscillator performance. At the power 14.9 mW the oscillator generates a signal at 5.4 GHz. At 1MHz frequency offset from the carrier at 5.4 GHz the phase noise is -129.32 dBc/Hz, the figure of merit (FoM) of the proposed oscillator is -192 dBc/Hz. The dual-feedback oscillator uses a chip size of 2×1 mm2.
    Secondly, in this thesis, a Class D varactor-free LC-resonator injection-locked oscillator (ILO) in the TSMC 0.18 μm BiCMOS process is presented. The ILO uses two shunt injection MOSFETs to couple injection signal to the Class-D oscillator. The injection MOSFET are used as harmonic mixer. The ILO output swing is about 3 times of supply voltage. At the supply voltage of 0.7 V and the ILO-core power consumption 8.77 mW, the locking range is from 2.41 GHz to 2.86 GHz. The high voltage swing leads to higher 2nd harmonic output signal, the circuit is a useful injection locked frequency doubler.
    Finally, this thesis designs and analyzes wide locking range high even-modulus LC-tank ILFDs with current-reused topologies. The current-reused LC ILFDs use two stacked LC sub-ILFDs sharing the same dc current. Both sub-ILFDs use nMOSFETs as linear injection mixers for high conversion gain. For the ÷8 LC ILFD designed in the TSMC 0.18 μm CMOS process, the circuit uses one ÷4 and one ÷2 sub-ILFD, at the supply of 1.6 V and at the incident power of 0 dBm, the locking range is 4 GHz (38.835 %), from the incident frequency 8.3 GHz to 12.3 GHz. The ILFD core power consumption is 13.98 mW and the die size is 1.2 × 1.2 mm2. Both the ÷8 LC ILFD and the ÷4 sub-ILFD have non-overlapped and overlapped locking ranges, which are due to a dual-resonance resonator used in the varactor-free n-core ILFDs. The LC dual-resonance resonator is owing to parasitic capacitors in active FETs and on-chip spiral inductors and inductive elements, and it is used to get wide overlapped locking range. Two ÷4 LC ILFDs inherent in the designed circuit are also studied.

    氮化鎵及CMOS震盪器與高偶模注入式鎖定除頻器之研究 I 中文摘要 …………………………………………………………………………..I Abstract ………………………………………………………………………...III 誌謝 ………………………………………………………………………….V Table of Contents ………………................VI List of Figures ………………………………………………………………………VIII List of Tables ………………………………………………………………………XII Chapter 1 Introduction 1 1.1 Background 1 1.2 Thesis Organization 4 Chapter 2 Overview of Voltage-Controlled Oscillators 5 2.1 Introduction 5 2.2 The Oscillators Theory 7 2.2.1 One-Port (Negative Resistance) View 8 2.2.2 Two-Port (Feedback) View 10 2.3 Design Concepts of Voltage-Controlled Oscillator 13 2.3.1 Parameters of a Voltage-Controlled Oscillator 14 2.3.2 Phase Noise 16 2.3.3 Quality Factor 21 2.4 Type of the LC Oscillator 23 2.4.1 Single Transistor Oscillator 25 2.4.2 One-Port Oscillator (Negative-Gm Oscillator) 28 2.4.3 Cross-Coupled Oscillator 33 2.4.4 Complementary Cross-Coupled Topology 35 2.5 Classification of Oscillators 37 2.5.1 Ring Oscillator 37 2.5.2 LC-Tank Oscillator 42 2.6 Research in RLC-Tank 46 2.6.1 Resistors 47 2.6.2 Inductor 48 2.6.3 Transformer 54 2.6.4 Capacitor 59 2.6.5 Varactors 61 Chapter 3 Overview of Injection Locking Frequency Divider 65 3.1 Introduction 65 3.2 Principle of Injection Locked Frequency Divider 67 3.3 Locking Range 69 Chapter 4 GaN HEMT Oscillator Using Transformer and Colpitts Feedback Techniques 72 4.1 Introduction 72 4.2 Circuit Design 74 4.3 Measurement and Discussion 77 Chapter 5 Class-D LC-tank Capacitive Cross-Coupled Injection-Locked Oscillator and Frequency Doubler 84 5.1 Introduction 84 5.2 Circuit Design 86 5.3 Measurement and Discussion 88 Chapter 6 High Even-Modulus Injection-Locked Frequency Dividers 96 6.1 Introduction 96 6.2 Divide-by-4 ILFDs 99 6.3 Divide-by-8 ILFD 103 6.3.1 Circuit Design of the Divide-by-8 ILFD 103 6.3.2 Experimental of the Divide-by-4 ILFD 112 Chapter 7 Conclusions 116 References 118

    [1] B. Razavi, RF Microelectronics, Upper Saddle River, NJ: Prentice Hall, 1998.
    [2] S. Smith, Microelectronic Circuit 4th edition, Oxford University Press 1998.
    [3] J. Roggers, C. Plett, Radio frequency integrated circuit design, Artech House, 2003.
    [4] B. Razavi, Design of Analog CMOS Integrated Circuits, Mc Graw Hill, 2001.
    [5] B. Razavi, RF microelectronics, Prentice Hall PTR, 1998.
    [6] B. Razavi, Design of Integrated Circuits for Optical Communications, Mc Graw Hill.
    [7] S. J. Lee, B. Kim, K. Lee, “A Novel High-Speed Ring Oscillator for Multiphase Clock Generation Using Negative Skewed Delay Scheme”, IEEE Journal of Solid-State Circuits, vol. 32, No. 2, February 1997.
    [8] B. Razavi, Design of Analog CMOS Integrated Circuit, McGraw Hill, 2008.
    [9] G. Gonzalez , Microwave Transistor Amplifiers Analysis And Design, Prentice Hall, 1997.
    [10] 劉隽宇, 翁若敏, 運用於IEEE 802.11a CMOS 頻率合成器的低雜訊寬調變範圍之壓控振盪器, 2005.07.
    [11] De Muer, M. Borremans, M.Steyaert, and G. Li Puma, “A 2GHz low-phase-noise integrated LC-VCO set with flicker-noise upconversion minimization,” IEEE J. Solid-State Circuits, vol. 35, pp. 1034-1038, 2000.
    [12] S.Levantino, C. Samori, A. Bonfanti, S. L. J. Gierkink, A. L. Lacaita, and V. Boccuzzi, “Frequency dependence on bias current in 5GHz CMOS VCOs:impact on tuning range and flicker noise upconversion”, IEEE J. Solid-State Circuits, vol. 37, pp.1001-1003, 2002.
    [13] T. H. Lee, The design of CMOS radio frequency integrated circuits, Cambridge University Press, 1998.
    [14] H. M. Greenhouse, “Design of planar rectangular microelectronic inductors,” IEEE Transactions on Parts, Hybrids, and Packaging, vol. 10, pp. 101-109, Jun 1974.
    [15] C. P. Yue, C. Ryu, JackLau, T. H. Lee, and S. Wong, “A physical model for planar spiral inductors on silicon,” 1996 International Electron Devices Meeting Technical Digest, pp. 155-158, Dec. 1996.
    [16] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, pp. 1368-1382, Sept. 2000.
    [17] A . Zolfaghari, A. Chan, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620-628, Apr. 2001.
    [18] T. Lee, and A. Hajimiri, “Oscillator phase noise: a tutorial,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 326-336, Mar. 2000.
    [19] P. Andreani, S. Mattisson, “On the use of MOS varactors in RF VCOs,” IEEE Journal of Solid-State Circuits, vol. 35, no. 6, pp. 905-910, June 2000.
    [20] J. Craninckx and M. S. J. Steyaert, “A 1.75-GHz/3-V dual-modulus divide-by-128/ 129 prescaler in 0.7 um CMOS,” IEEE J. Solid-State Circuits, vol. 31, pp. 890-897, July 1996.
    [21] Q. Huang and R. Rogenmoser, “Speed optimization of edge-triggered CMOS circuits for gigahertz single-phase clocks,” IEEE J. Solid-State Circuits, vol. 31, pp. 456-463, Mar. 1996.
    [22] J. Lee and B. Razavi, “A 40 GHz frequency divider in 0.18μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, pp. 594-601, Apr. 2004.
    [23] H. R. Rategh, and T.H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, pp. 813-821, June 1999.
    [24] H. D. Wohlmuth and D. Kehrer, “A high sensitivity static 2:1 frequency divider up to 27 GHz in 120 nm CMOS,” IEEE European Solid State Circuits Conference (ESSCIRC), pp. 823-826, Sept. 2002.
    [25] M. Tiebout, “A 480 uW 2 GHz ultra low power dual-modulus prescaler in 0.25 um standard CMOS,” IEEE International Symposium on Circuit and System (ISCAS), vol. 5, pp. 741-744, May 2000.
    [26] H. Wu, and A. Hajimiri, “A 19 GHz 0.5 mW 0.35 μm CMOS frequency divider with shunt-peaking locking-range enhancement,” IEEE ISSCC Dig. Tech. Papers, pp. 412-413, Feb. 2001.
    [27] R. J. Betancourt-Zamora, S. Verma, and T. H. Lee, “1 GHz and 2.8 GHz CMOS injection- locked ring oscillator prescalers,” IEEE Symposium on VLSI Circuits, pp. 47-50, June 2001.
    [28] P. Kinget, R. Melville, D. Long, and V. Gopinathan, “An injection locking scheme for precision quadrature generation,” IEEE J. Solid-State Circuits, vol. 37, pp. 845-851, July 2002.
    [29] J. Lee and B. Razavi, “A 40 GHz frequency divider in 0.18-μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, pp. 594-601, Apr. 2004.
    [30] H. Wu, “Signal generation and processing in high-frequency/high-speed silicon- based integrated circuits,” PhD thesis, California Institute of Technology, 2003.
    [31] R. Adler, “A study of locking phenomena in oscillators,” Proc. IEEE, vol. 61, pp.1380-1385, Oct. 1973.
    [32] Weber, R., Schwantuschke, D., Bruckner, P., et al, “A 67 GHz GaN voltage-controlled oscillator MMIC with high output power,” IEEE Microw. Wirel. Compon. Lett., pp. 374–376, 2013.
    [33] H. Xu, C. Sanabria, S. Heikman, S. Keller, U. K. Mishra, and R. A. York, “High power GaN oscillators using field-plated HEMT structure,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1345–1348, Jun. 2005.
    [34] V. S. Kaper, V. Tilak, H. Kim, A. V. Vertiatchikh, R. M. Thompson, T. R. Prunty, L. F. Eastman, and J. R. Shealy,“High-power monolithic AlGaN/GaN HEMT oscillator,” IEEE J. Solid-State Circuits, vol. 38, no. 9, pp. 1457–1461, Sep. 2003.
    [35] S.–L. Jang, Y.-H. Chang, J.-S. Chiou and W.-C. Lai, “A single GaN HEMT oscillator with 4-path inductors,” IEEE ISNE-2018, Taipei, Taiwan 2018.
    [36] S.–L. Jang, Y.-J. Su, K. J. Lin and B.-J. Wang,” An 4.7 GHz low power cross-coupled GaN HEMT oscillator,” Microw. Opt. Technol. Lett. pp. 2442-2447, 2018.
    [37] C. Sanabria, H. Xu, S. Heikman, U. K. Mishra, and R. A. York, “A GaN differential oscillator with improved harmonic performance,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 7, pp. 463–465, Jul. 2005.
    [38] C. Bansleben, W. Heinrich, “Compact high-power GaN oscillator with 2.45 GHz differential output,” Proc. 43th European Microwave Conf. (EuMC 2013), Nuremberg, Germany, pp. 818-821, Oct. 2013.
    [39] S. Lai, D. Kuylenstierna, M. Ozen, M. Horberg, N. Rorsman, I. Angelov, and H. Zirath, “Low phase noise GaN HEMT oscillators with excellent figures of merit,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 6, pp. 412–414, Jun. 2014.
    [40] S. Lai, D. Kuylenstiellla, M. Hiirberg, N. Rorsman, L Angelov, K. Andersson, and H. Zirath, “Accurate phase-noise prediction for a balanced Colpitts GaN HEMT MMIC oscillator,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 11, pp. 3916–3926, Nov. 2013,
    [41] Y.-H. Chuang, S.-L Jang, S.-H. Lee, R.-H. Yen and J.-J. Jhao, “ 5 GHz low power CMOS differential Armstrong VCOs with balanced current-reused topology,” IEEE Microw. Wireless Compon. Lett., pp. 139-141, Feb. 2007.
    [42] N. T. Tchamov, T. Niemi, and N. Mikkola, “High-performance differential VCO based on Armstrong oscillator topology,” IEEE J. Solid-State Circuits, vol. 36, pp. 139–141, Jan. 2001.
    [43] C.-C. Liu, S.-L. Jang, J.-J. Chen, and M.-H. Juang, “A 0.6 V low power Armstrong VCO in 0.18 μm CMOS,” Microw. Opt. Technol. Lett., vol. 52, No.1, pp. 116-119, 2010.
    [44] Z. Herbert, S. Lai, K. Dan, F. Jonathan, A. Kristoffer and R. Niklas, “An X-band low phase noise AlGaN-GaN-HEMT MMIC push-push oscillator,” in Proc. IEEE Compound Semicond. Integr. Circuit Symp. (CSICS), pp. 1–4, Oct. 16–19, 2011.
    [45] H. Liu, X. Zhu, C. C. Boon, X. Yi, M. Mao, and W. Yang, “Design of ultra-low phase noise and high power integrated oscillator in 0.25 µm GaN-on-SiC HEMT technology,” IEEE Microw. Wireless Compon. Lett., vol. 24, pp. 120–122, 2014.
    [46] G. Soubercaze-Pun, et al., “Design of a X-band GaN oscillator: From the low frequency noise device characterization and large signal modeling to circuit design,” in IEEE MTT-S Int. Dig., pp. 747–750, Jun. 11–16, 2006.
    [47] J. Lin, C. C. Boon, X. Yi, and G. Feng, “A 50–59 GHz CMOS injection locking power amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 1, pp. 52–54, Jan. 2015.
    [48] S. Verma, H. R. Rategh, and T. H. Lee, “A unified model for injectionlocked frequency dividers,” in IEEE J. Solid-State Circuits, vol. 38, pp. 1015–1027, June 2003.
    [49] B. Mesgarzadeh and A. Alvandpour, “First-harmonic injection-locked ring oscillators,” in Proc. IEEE Custom Integrated Circuit Conf. (CICC), pp. 733–736, 2006.
    [50] F.-H. Huang and Y.-J. Chan, “A V-band CMOS injection-locked oscillator using fundamental harmonic injection,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 882–884, Dec. 2007.
    [51] C. Feng, X.P. Yu, Z.H. Lu, W.M. Lim and W.Q. Sui, “V-band injection-locked oscillator with 9 GHz locking range, ” Electron. Lett., 49, (8), pp. 548–549, 2005.
    [52] K. Wu, S. Muralidharan, and M. M. Hella, “A 0.4% PAE 194-GHz signal source with 1.5-mW output power in 65-nm bulk CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 4, pp. 407–409, Apr. 2017.
    [53] H.-S. Oh, T. Song, E. Yoon, and C.-K. Kim, “A power-efficient injection-locked class-E power amplifier for wireless sensor network,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 4, pp. 173–175, Apr. 2006.
    [54] L. Fanori and P. Andreani, “Class-D CMOS oscillators,” IEEE J. Solid State Circuits, vol. 12, no. 7, pp. 1295–1304, Dec. 2013.
    [55] M. Shahmohammadi, M. Babaie, and R. B. Staszewski, “A 1/f noise upconversion reduction technique for voltage-biased RF CMOS oscillators,” IEEE J. Solid-State Circuits, vol. 51, no. 11, pp. 2610–2624, Nov. 2016.
    [56] F.-H. Huang, C.-C. Chen, H.-Y. Chang, and Y.-M. Hsin, “A 60-GHz 2x2 phased-array transmitter using injection-locked oscillator in 0.18 um CMOS Technology,” Microwave Conference Proceedings (APMC) 2010 Asia-Pacific, pp. 538-541, 2010.
    [57] E. Monaco, M. Pozzoni, F. Svelto, and A. Mazzanti, “Injection-locked CMOS frequency doublers for -wave and mm-wave applications,” IEEE J. Solid-State Circuits, vol. 45, no. 8, pp. 1565–1574, Aug. 2010.
    [58] S.-L. Jang, C.-W. Lin, C.-C. Liu, and M.-H. Juang, “An active-inductor injection locked frequency divider with variable division ratio,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 39–41, Jan. 2009.
    [59] S.-L. Jang, Y.-S. Chen, C.-W. Chang, and C.-C. Liu, “A wide-locking range ÷3 injection-locked frequency divider using linear mixer,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 7, pp. 390–392, Jul. 2010.
    [60] S.-L. Jang, C.-W. Tai, and C.-F. Lee, “Divide-by-3 injection locked frequency divider implemented with active inductor,” Microw. Opt. Technol. Lett., Vol. 50, no. 6, pp.1682-1685, 2008.
    [61] Y.-H. Chuang, S.-H. Lee, R.-H. Yen, S.-L. Jang, J.-F. Lee, and M.-H. Juang, “A wide locking range and low voltage CMOS direct injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 299–301, May 2006.
    [62] A. Buonomo and A. Lo Schiavo, “A study of injection locking in dual band CMOS frequency dividers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 64, no. 5, pp. 1225–1234, May 2017.
    [63] S.-L. Jang, C.-W. Chang, J.-Y. Wun, and M.-H. Juang, “Quadrature injection-locked frequency dividers using dual-resonance resonator,” IEEE Microw. Compon. Lett., vol. 21, no. 1, pp. 37–39, Jan. 2011.
    [64] K.-H. Chien, J. Y. Chen and H. K. Chiou, “Designs of K-band divide-by-2 and divide-by-3 injection-locked frequency divider with darlington topology,” IEEE Trans. Microw. Theory Tech., vol. 99, 2015.
    [65] R. Shu, V. Subramanian, and G. Boeck, “A 8:1 static frequency divider operating up to 34 GHz in 0.13-μm CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., pp. 17–20, Sep. 2011.
    [66] Z. Griffith, M. Urteaga, R. Pierson, P. Rowell, M. Rodwell, and B. Brar, “A 204.8 GHz static divide-by-eight frequency divider in 250 nm InP HBT,” in 2010 IEEE Compound Semiconductor IC Symposium Digest, Monterey, CA, Oct. 2010.
    [67] A. Musa, K. Okada, and A. Matsuzawa, “A 20 GHz ILFD with locking range of 31% for divide-by-4 and 15% for divide-by-8 using progressive mixing,” in IEEE Asian Solid-State Circuits Conf. Tech. Dig., pp. 85–88, Nov. 2011.
    [68] S.-L. Jang, Y.-H. Chuang, S.-H. Lee and Y.-H., Chiang, “A current reused CMOS quadrature injection locked frequency divider,” Microw. Opt. Technol. Lett., pp.1804-1806, Aug. 2007.
    [69] P. H. Feng and S. I. Liu, “A current-reused injection-locked frequency multiplication/division circuit in 40 nm CMOS,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 4, pp. 1523–1532, Apr. 2013.
    [70] K. Yamamoto and M. Fujishima, “70 GHz CMOS harmonic injection locked divider,” in IEEE Int. Solid-State Circuits Conf. Dig., pp. 2472–2481, Feb. 2006.
    [71] S.-L. Jang, C. C. Liu and C.-W. Chung, “A tail-injected divide-by-4 SiGe HBT injection locked frequency divider,” IEEE Microw. Wireless Compon. Lett., vol.19,no. 4,pp. 236-238, April 2009.
    [72] S. -L. Jang, T. -C. Kung, and C. -W. Hsue, “Wide-locking range divide-by-4 injection-locked frequency divider using linear mixer approach,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 4, pp 398-400, Apr. 2017.
    [73] W.-C. Lai, S.-L. Jang, and G.-Z. Li, “High-modulus injection-locked frequency divider using multi-resonance tank,” IEEE Int. Microwave Symp. Boston, Massachusetts, USA, June 2-7, 2019.
    [74] S. -L. Jang, W. -C. Lai, and Y. -L. Ciou, “Wide-band triple resonance divide-by-4 injection-locked frequency divider,” in Proc. of 2017 IEEE MIT-S International Microwave Symposium (IMS), pp. 1934- 1937, 2017.
    [75] S. -L. Jang, S. -J. Jian, and C. -W. Hsue, “Wideband divide-by-4 injection locked frequency divider using harmonic mixer” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 10, pp. 924-926, Oct. 2017.
    [76] S.-L. Jang and C.-H. Fang, “Divide-by-4 capacitive cross-coupled injection-locked frequency dividers,” Analog Integr Circ Sig Process., 86:59-63, 2016.
    [77] S.-L. Jang, W C. Lai, W-C. Lai, and M.-H. Juang, “Wide-band divide-by-4 injection - locked frequency divider using RLC resonator and capacitive cross-coupled oscillator,” in Proc. of 2016 IEEE MIT-S International Wireless Symposium (IWS), pp. 1-4, 2016.
    [78] S.–L. Jang, C.-T. Hung, Y.-R. Huang, W.-C. Lai, and M.-H. Juang, “Wide-Band varactorless dual-resonance divide-by-4 injection-locked frequency divider,” Microw. Opt. Technol. Lett. vol. 59, issue 7, pp.1503-1507, July 2017.
    [79] Y. Tian, F. Y. Huang, Y. Wang, X. Tang and N. Jiang, “Modeling of on-chip spiral inductors with a center-tap,” in 9th Int. Conference on Solid-State and Integrated-Circuit Technology, pp. 500-503, 2008.
    [80] G. de Astis, D. Cordeau, J.-M. Paillot, and L. Dascalescu, “A 5-GHz fully integrated full PMOS low-phase-noise VCO,” IEEE J. Solid State Circuits, vol. 40, no. 10, pp. 2087–2091, Oct. 2005.
    [81] O. El-Gharniti, E. Kerherve, J.-B. Begueret, and P. Jarry, “Modeling of integrated monolithic transformers for silicon RF IC,” in Proc. IEEE Int. Electron. Circuits Syst. Symp., pp. 137–140, Dec. 2004.
    [82] W. L. Ng and H. C. Luong, “A 1 V 17 GHz 5 mW CMOS QVCO based on transformer coupling,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, pp. 198–199, 2006.
    [83] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, 39(9):1415-1424, Sept. 2004.
    [84] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000.
    [85] S. Cheng, H. Tong, J. S. Martinez and A. I. Karsilayan, “A fully differential low-power divide-by-8 injection-locked frequency divider up to 18 GHz,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 583-591, Mar. 2007.
    [86] M. Acar, D. Leenaerts, and B. Nauta, “A wide-band CMOS injection-locked frequency divider,” IEEE Radio Frequency Integrated Circuits Symposium, Digest of Papers, pp. 211–214, Jun. 2004.
    [87] F. Huang, D. Lin, H. Wang, W. Chiu, and Y. Chan, “20 GHz CMOS injection-locked frequency divider with variable division ratio,” IEEE Radio Freq. Integr. Circuits Symp. Dig., pp. 469–472, Jun. 2005.
    [88] Y.-S. Lin, W.-H. Huang, C.-L. Lu, and Y.-H. Wang, “Wide-locking-range multi-phase-outputs regenerative frequency dividers using even-harmonic mixers and CML loop dividers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3065-3075, Aug. 2014.

    無法下載圖示 全文公開日期 2024/08/13 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE