簡易檢索 / 詳目顯示

研究生: 曾煒翔
Wei-Hsiang Tseng
論文名稱: 基於KNN模型放置目標圖案關鍵點技術開發
Placement of Critical Shape Points on Target Pattern Based on KNN Model.
指導教授: 郭鴻飛
Hung-Fei Kuo
口試委員: 方劭云
Shao-Yun Fang
楊振雄
Cheng-Hsiung Yang
徐勝均
Sheng-Dong Xu
學位類別: 碩士
Master
系所名稱: 工程學院 - 自動化及控制研究所
Graduate Institute of Automation and Control
論文出版年: 2019
畢業學年度: 107
語文別: 中文
論文頁數: 89
中文關鍵詞: 微影製程機器學習圖像辨識K近鄰分類演算法k-平均演算法蟻群演算法光源最佳化
外文關鍵詞: Lithography, machine learning, image recognition, K-nearest neighbor algorithm, K-means algorithm, ant colony algorithm, source optimization
相關次數: 點閱:386下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 由於機器學習在各領域應用的崛起,機器學習已經廣泛的應用在圖像辨識、資料探勘、語音辨識與處理、搜尋引擎、醫學診斷、金融模型設計等,訓練機器學習模型模仿人類的經驗已經成為趨勢,並使用機器學習取代重複性高的工作,在光源波長為193 nm的浸潤式微影製程,本研究目的是為了要降低製程所需要花費的人力成本,讓人類有更多時間能夠處理機器學習所無法取代的任務中。從過往的應用我們可以知道機器學習在圖像辨識的能力是十分優秀的,因此本研究把機器學習應用在辨識切割後的記憶體光罩圖案上,再使用放置規則去對應辨識成果進行不同方法的關鍵點自動放置,過往在放置關鍵點的過程需要依靠人工的辨識整面光罩,首先要了解光罩圖案中多邊形與多邊形之間的關係,再針對單一多邊形去進行關鍵點放置,因此本研究也模擬人工辨識的方式,分成雙層結構使用K近鄰分類演算法去進行辨識,第一層區間是辨識大範圍的圖案,得到52種多邊形與多邊形之間對應的關係,如多邊形間的疏密等,第二層區塊是辨識5種小範圍的圖案特徵,辨識單一多邊形本身的圖案特徵,如轉角、邊緣、斜邊等,使得單一區塊會有所屬的區間分類和本身的區塊分類,再依據這兩個分類所形成的260種類型去比對關鍵點放置規則進行自動的關鍵點放置,並把成果與手動放置關鍵點在蟻群演算法之光源最佳化中進行比較,使自動放置關鍵點的模擬結果能符合關鍵放置誤差在正負10%內的製程條件,另外再使用k-平均演算法從另一個分類的思維去進行關鍵點放置,並與K近鄰分類演算法去進行曝光成果的比較與演算速度之分析。


    Due to the rise of machine learning applications in various fields, machine learning has been widely used in image recognition, and data exploration et al. Machine learning models to mimic human experience has become a trend. In 193nm immersion lithography, this paper is to reduce the labor costs of the process. Then humans can deal with tasks that machine learning can't replace. Machine learning in image recognition is very good, so this paper applies machine learning to the identification of the DRAM mask pattern. Match the identification results and perform automatic placement of critical shape points(CS points) by placement rules. Therefore, this paper also references manual identification, and uses a K-nearest neighbor algorithm to identify the two-layer structure. The 52 types of intervals obtains the relationship between the polygon and the polygon, such as the density, etc. The 5 types of blocks identifies the features of the single polygon, such as corners, edges, and bevels. Therefore, one block have interval and block classification, CS points placement rules will be automatically placed by 260 types of classifications. The results will be compared to manual placement in the source optimization based on ant colony algorithm. The result is within plus or minus 10% of CD error. On the other hand, the k-means algorithm is used to CS points placement from another classification thinking. k-means algorithm and KNN algorithm will compare the exposure results and analyze the calculation speed.

    目錄 致謝 I 摘要 II ABSTRACT III 圖目錄 IV 表目錄 VIII 第一章 緒論 1 1.1 前言 1 1.2 研究動機 5 1.3 論文架構 5 第二章 微影系統光學 7 2.1 簡介 7 2.2 關鍵點與量測位置 7 2.3 現有增加解析度機器學習模型 11 2.4 光學模型與微影參數 19 2.5 介紹K近鄰分類演算法 22 2.6 結論 24 第三章 K近鄰分類演算法放置關鍵點 25 3.1前言 25 3.2 圖案分類與資料儲存 25 3.3 關鍵點放置與多邊形重組 32 3.4 手動vs自動放置關鍵點比較 38 3.5 結論 49 第四章 k-平均演算法放置關鍵點 50 4.1 前言 50 4.2應用 k-平均演算法模型於光罩圖案分類 50 4.3 時間複雜度與虛擬程式碼 55 4.4 手動與自動放置關鍵點比較 56 4.5 比較K近鄰分類演算法與k-平均演算法 66 4.6 結論 67 第五章 結論 68 5.1 模擬結果之分析比較 68 5.2 本研究之貢獻 69 5.3 本研究之未來方向 69 參考文獻 70

    [1] M. M. Waldrop, "The chips are down for Moore’s law." Nature News, 530.7589: 144, 2016.
    [2] R. R. Schaller, "Moore's law: past, present and future." IEEE Spectrum, vol.34, no.6, pp 52-59.
    [3] A. Das, "Hynix DRAM layout, process integration adapt to change." UBM TechInsights, 18-Dec-2012
    [4] Y. S. Kang, C. Affentauschegg, J. Mulkens, J. S. S. Kim, J. H. Shin, Y. H. Kim, and J. I. Lee, "High-order distortion control using a computational prediction method for device overlay," Journal of Micro/Nanolithography, MEMS, and MOEMS, 15.2: 021403, 2016.
    [5] R. Voelkel. "Micro-optics: enabling technology for illumination shaping in optical lithography." Optical Microlithography XXVII. Vol. 9052. International Society for Optics and Photonics, 2014.
    [6] S. Shim, S. Choi, and Y. Shin, "Light interference map: A prescriptive optimization of lithography-friendly layout." IEEE Transactions on Semiconductor Manufacturing, 29.1: 44-49, 2015.
    [7] V. Singh. "Lithography at 14nm and beyond: Choices and challenges." 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, 2011.
    [8] C. A. Mack. "Fundamental principles of optical lithography: the science of microfabrication." John Wiley & Sons, 2008.
    [9] C. A. Mack, and J. D. Byers. "Exploring the capabilities of immersion lithography through simulation." Optical Microlithography XVII. Vol. 5377. International Society for Optics and Photonics, 2004.
    [10] Y. Wang, T. Miyamatsu, T. Furukawa, K. Yamada, T. Tominaga, Y. Makita, and T. Shimokawa,"High-refractive-index fluids for the next-generation ArF immersion lithography." Advances in Resist Technology and Processing XXIII. Vol. 6153. International Society for Optics and Photonics, 2006.
    [11] J. Mulkens, D. Flagello, B. Streefkerk, and P. Graeupner, "Benefits and limitations of immersion lithography," J. Micro/Nanolithogr., MEMS, MOEMS, vol.3, no. 1, pp. 104-114, Jan. 2004.
    [12] S. Mori, H. Aoyama, T. Ogata, R. Matsui, and T. Matsuyama, "Imaging application tools for extremely low-k1 ArF immersion lithography." in Proc. SPIE 8683, pp. 86830A, 2013.
    [13] X. -W. Guo, J. Du, X. Luo, Q. Deng, and C. Du, "RET simulations for SLM-based maskless lithography." Microelectronic Engineering, vol. 85, pp. 929-933, May-Jun 2008.
    [14] C. Wang, N. Chen, C. Kallingal, W. Wilkinson, J. Liu, and A. Leslie, "Using heuristic optimization to set SRAF rules," in Proc. SPIE 10147, p. 1014706, 2017.
    [15] J. Song, J. Choi, C. Park, H. Yang, D. Kang, M. Oh, and S. Baron, "The new OPC method for obtaining the stability of MBAF OPC," in Proc. SPIE 10148, p. 1014813, 2017.
    [16] Y. Du, "The pattern-matching based OPC approach for preemptively fixing the weak points." in Proc. SPIE 10147, p. 101471H, 2017.
    [17] X. Ma, S. Jiang, J. Wang, B. Wu, Z. Song, and Y. Li, "A fast and manufacturefriendly optical proximity correction based on machine learning." Microelectron. Eng., vol. 168, pp. 15-26, Jan. 2017.
    [18] H. J. Levinson, "Principles of Lithography. " in Society of Photo Optical, 2010.
    [19] A. K. K. Wong, "Resolution Enhancement Techniques in Optical Lithography. " SPIE Press, 2001.
    [20] M. D. Prouty and A. R. Neureuther, "Optical Imaging with Phase Shift Masks." in Proc. SPIE 0470, 1984, pp. 228-232.
    [21] P. J. ho, H. H. ji, J. Y. hwan, M. I. kyu, J. C. hwan, and L. Yeonho."Study on the use of RET for improvement of dof in sub-via contact holes to severe topography," in 28th Int. Conf. on MIEL, pp. 103-106, 2012.
    [22] Y. Shim, S. Jun, J. Choi, K. Choi, J. W. Han, K. Wang, and X. Zhou, "Patterning of 90nm node flash contact hole with assist feature using KrF." in Proc. SPIE 7488, p. 748837, 2009.
    [23] S. Oh, D. Han, H. B. Shim, and J. W. Hahn, "Optical proximity correction (OPC) in near-field lithography with pixel-based field sectioning time modulation," Nanotechnology, vol. 29, Jan 26 2018.
    [24] H. –F. Kuo, and F. Lie, "Ant Colony Optimization-Based Freeform Sources for Enhancing Nanolithographic Imaging Performance." in IEEE Transactions on Nanotechnology, vol. 15, no. 4, pp. 599-606, July 2016.
    [25] M. Jeong, and J. W. Hahn, "Pixel-based learning method for an optimized photomask in optical lithography." Journal of Micro/Nanolithography, MEMS, and MOEMS, 16.4: 043504, 2017.
    [26] S. Lan, J. Liu, Y. Wang, K. Zhao, and J. Li, "Deep learning assisted fast mask optimization." Proc. SPIE 10587, Optical Microlithography XXXI. Vol. 10587. International Society for Optics and Photonics, 2018.
    [27] X. Ma, X. Zhao, Z. Wang, Y. Li, S. Zhao, and L. Zhang, "Fast lithography aerial image calculation method based on machine learning." Applied Optics 56, Issue 23, pp. 6485-6495, 2017.
    [28] S. Wang, S. Baron, N. Kachwala, C. Kallingal, D. Sun, V. Shu, and J. Su,"Efficient full-chip SRAF placement using machine learning for best accuracy and improved consistency." Proc. SPIE 10587, Optical Microlithography XXXI. Vol. 10587. International Society for Optics and Photonics, 2018.
    [29] S. Wang, J. Su, Q. Zhang, W. Fong, D. Sun, S. Baron, and S. D. Hsu,"Machine learning assisted SRAF placement for full chip." Proc. SPIE 10451, Photomask Technology, 104510D, 16 October 2017
    [30] O. T. Ghalehbeygi, G. Berriman, A. J. Fleming, and J. L. Holdsworth, "Optimization and simulation of exposure pattern for scanning laser lithography." in 2015 IEEE Conf. on Control Applications, pp. 1868-1873, 2015.
    [31] D. O. S. Melville, A. E. Rosenbluth, A. Waechter, M. Millstone, J. Tirapu-Azpiroz, K. Tian, and A. Tritchkov. "Computational lithography: Exhausting the resolution limits of 193-nm projection lithography systems." J. Vac. Sci. Technol. B, vol. 29, no. 6, pp. 06FH04 1-14, Nov. 2011.
    [32] A. Sagiv, J. Finders, R. Kazinczi, A. Engelen, F. Duray, I. M. Janssen, and I. Englard, "Aerial imaging for source mask optimization: mask and illumination qualification," in Proc. SPIE 7488, 2009, p. 74880Z.
    [33] Y. Granik, "Solving inverse problems of optical microlithography." in Proc. SPIE 5754, 2005, pp. 506-526.
    [34] S. Kapasi, S. Robertson, J. Biafore, and M. D. Smith, "Source-mask selection using computational lithography incorporating physical resist models." in Proc. SPIE 7275, p.72750W, 2009.
    [35] M. Kawashima, K. Yamazoe, Y. Sekine, M. Hakko, M. Ohta, and T. Honda, "Mask optimization for arbitrary patterns with 2D-TCC resolution enhancement technique." in Proc. SPIE 6924, p. 69240F, 2008.
    [36] E. Hendrickx, A. Tritchkov, K. Sakajiri, Y. Granik, M. Kempsell, and G. Vandenberghe, "Hyper-NA imaging of 45nm node random CH layouts using inverse lithography." in Proc. SPIE 6924, p. 69240L, 2008.
    [37] K. Yamazoe, Y. Sekine, M. Kawashima, M. Hakko, T. Ono, and T. Honda, "Resolution enhancement by aerial image approximation with 2D-TCC." in Proc. SPIE 6730, p. 67302H, 2007.
    [38] S. K. Kim, "Aerial image formation of quantum lithography for diffraction limit," Curr. Appl. Phys., vol. 12, no. 6, pp. 1566-1574, Nov. 2012.
    [39] P. Gong, S. Liu, W. Lv, and X. Zhou, "Fast aerial image simulations for partially coherent systems by transmission cross coefficient decomposition with analytical kernels," J. Vac. Sci. Technol. B, vol. 30, no. 6, p. 06FG03, Nov. 2012.
    [40] Y. Watanabe, T. Kimura, T. Matsunawa, and S. Nojima, "Accurate lithography simulation model based on convolutional neural networks," in Proc. SPIE 10147, p. 101470K, 2017.
    [41] A. E. Rosenbluth, "Decomposition of the TCC using non-coherent kernels for faster calculation of lithographic images," in Proc. SPIE 10147, p.101470P, 2017.
    [42] T. Kimura, T. Matsunawa, S. Nojima, and D. Z. Pan, "SOCS based post-layout optimization for multiple patterns with light interference prediction," in Proc. SPIE 10148, p. 101480A, 2017.
    [43] L. Wu, D. Kwa, J. Wan, T. Wang, M. S. John, S. Deeth, and K. Lucas. "Building block style recipes for productivity improvement in OPC, RET and ILT flows," in Proc. SPIE 9781, p. 978116, 2016.
    [44] R. H. Kim, O. Wood, M. Crouse, Y. Chen, V. Plachecki, S. Hsu, and K. Gronlund, "Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs." in Proc. SPIE 9776, p. 97761R, 2016.
    [45] N. Zeggaoui, G. Landie, A. Villaret, V. Farys, E. Yesilada, A. Tritchkov, and J. Word, "Enabling the 14nm node contact patterning using advanced RET solutions." in Proc. SPIE 9661, p. 96610Q, 2015.
    [46] S. Hsu, R. Howell, J. Jia, H. Y. Liu, K. Gronlund, S. Hansen, and J. Zimmermann, "EUV resolution enhancement techniques (RETs) for k1 0.4 and below." in Proc. SPIE 9422, p. 94221I, 2015.
    [47] L. Yu, Y. Wei, Y. Su, X. Su, Z. Song, M. Quo, and Y. Duan, "Effective solution for the 14nm node multiple patterning lithography." in IEEE CSTIC, pp. 1-4, 2016.
    [48] K. Xianhua, L. Wen, and L. Shiyuan, "Ant colony algorithm for layout decomposition in double/multiple patterning lithography." in IEEE CSTIC, 2015, pp. 1-3.
    [49] K. Tian, M. Fakhry, A. Dave, A. Tritchkov, J. T. Azpiroz, A. E. Rosenbluth, and A. Wei, "Applicability of global source mask optimization to 22/20nm node and beyond." in Proc. SPIE 7973, p. 79730C,2011.
    [50] Y. Liu, X. Su, L. Dong, Z. Song, M. Guo, and Y. Su, "Enlarge the process window of patterns in 22nm node by using mask topography aware OPC and SMO." in IEEE CSTIC, pp.1-4, 2015.
    [51] D. Coomans, and D.L. Massart. "Alternative k-nearest neighbour rules in supervised pattern recognition : Part 1. k-Nearest neighbour classification by using alternative voting rules. " Analytica Chimica Acta. 136: 15–27, 1982.
    [52] N. S. Altman, "An introduction to kernel and nearest-neighbor nonparametric regression. " the American Statistician. 1992, 46 (3): 175–185.
    [53] P. A. Jaskowiak, and R. J. G. B. Campello, "Comparing Correlation Coefficients as Dissimilarity Measures for Cancer Classification in Gene Expression Data. " Brazilian Symposium on Bioinformatics, BSB, 2011
    [54] F. Nigsch, A. Bender, B. van Buuren, J. Tissen, E. Nigsch and J. B. Mitchell, "Melting point prediction employing k-nearest neighbor algorithms and genetic parameter optimization." Journal of chemical information and modeling 46.6 : 2412-2422, 2006.
    [55] E. H. S. Han, G. Karypis, and V. Kumar, "Text categorization using weight adjusted k-nearest neighbor classification," Pacific-asia conference on knowledge discovery and data mining. Springer, Berlin, Heidelberg, 2001.
    [56] N. Christofides, "Worst-case analysis of a new heuristic for the travelling salesman problem. " No. RR-388. Carnegie-Mellon Univ Pittsburgh Pa Management Sciences Research Group, 1976.
    [57] J. MacQueen, "Some methods for classification and analysis of multivariate observations." Proceedings of the fifth Berkeley symposium on mathematical statistics and probability. Vol. 1. No. 14. 1967.
    [58] A. Coates, and A. Y. Ng, "Learning feature representations with k-means." Neural networks: Tricks of the trade. Springer, Berlin, Heidelberg, 561-580, 2012.

    無法下載圖示 全文公開日期 2024/07/30 (校內網路)
    全文公開日期 2024/07/30 (校外網路)
    全文公開日期 2024/07/30 (國家圖書館:臺灣博碩士論文系統)
    QR CODE